f2fs: Provide a splice-read wrapper
[linux-block.git] / .clang-format
CommitLineData
d4ef8d3f
MO
1# SPDX-License-Identifier: GPL-2.0
2#
781121a7 3# clang-format configuration file. Intended for clang-format >= 11.
d4ef8d3f
MO
4#
5# For more information, see:
6#
7# Documentation/process/clang-format.rst
8# https://clang.llvm.org/docs/ClangFormat.html
9# https://clang.llvm.org/docs/ClangFormatStyleOptions.html
10#
11---
12AccessModifierOffset: -4
13AlignAfterOpenBracket: Align
14AlignConsecutiveAssignments: false
15AlignConsecutiveDeclarations: false
96232c7d 16AlignEscapedNewlines: Left
d4ef8d3f
MO
17AlignOperands: true
18AlignTrailingComments: false
19AllowAllParametersOfDeclarationOnNextLine: false
20AllowShortBlocksOnASingleLine: false
21AllowShortCaseLabelsOnASingleLine: false
22AllowShortFunctionsOnASingleLine: None
23AllowShortIfStatementsOnASingleLine: false
24AllowShortLoopsOnASingleLine: false
25AlwaysBreakAfterDefinitionReturnType: None
26AlwaysBreakAfterReturnType: None
27AlwaysBreakBeforeMultilineStrings: false
28AlwaysBreakTemplateDeclarations: false
29BinPackArguments: true
30BinPackParameters: true
31BraceWrapping:
32 AfterClass: false
33 AfterControlStatement: false
34 AfterEnum: false
35 AfterFunction: true
36 AfterNamespace: true
37 AfterObjCDeclaration: false
38 AfterStruct: false
39 AfterUnion: false
96232c7d 40 AfterExternBlock: false
d4ef8d3f
MO
41 BeforeCatch: false
42 BeforeElse: false
43 IndentBraces: false
96232c7d
MS
44 SplitEmptyFunction: true
45 SplitEmptyRecord: true
46 SplitEmptyNamespace: true
d4ef8d3f
MO
47BreakBeforeBinaryOperators: None
48BreakBeforeBraces: Custom
96232c7d 49BreakBeforeInheritanceComma: false
d4ef8d3f
MO
50BreakBeforeTernaryOperators: false
51BreakConstructorInitializersBeforeComma: false
96232c7d 52BreakConstructorInitializers: BeforeComma
d4ef8d3f
MO
53BreakAfterJavaFieldAnnotations: false
54BreakStringLiterals: false
55ColumnLimit: 80
56CommentPragmas: '^ IWYU pragma:'
96232c7d 57CompactNamespaces: false
d4ef8d3f
MO
58ConstructorInitializerAllOnOneLineOrOnePerLine: false
59ConstructorInitializerIndentWidth: 8
60ContinuationIndentWidth: 8
61Cpp11BracedListStyle: false
62DerivePointerAlignment: false
63DisableFormat: false
64ExperimentalAutoDetectBinPacking: false
96232c7d 65FixNamespaceComments: false
d4ef8d3f
MO
66
67# Taken from:
49bb63a2 68# git grep -h '^#define [^[:space:]]*for_each[^[:space:]]*(' include/ tools/ \
d4ef8d3f 69# | sed "s,^#define \([^[:space:]]*for_each[^[:space:]]*\)(.*$, - '\1'," \
72e14aa9 70# | LC_ALL=C sort -u
d4ef8d3f 71ForEachMacros:
43120879
MO
72 - '__ata_qc_for_each'
73 - '__bio_for_each_bvec'
74 - '__bio_for_each_segment'
49bb63a2
MS
75 - '__evlist__for_each_entry'
76 - '__evlist__for_each_entry_continue'
77 - '__evlist__for_each_entry_from'
78 - '__evlist__for_each_entry_reverse'
79 - '__evlist__for_each_entry_safe'
43120879
MO
80 - '__for_each_mem_range'
81 - '__for_each_mem_range_rev'
82 - '__for_each_thread'
83 - '__hlist_for_each_rcu'
49bb63a2
MS
84 - '__map__for_each_symbol_by_name'
85 - '__perf_evlist__for_each_entry'
86 - '__perf_evlist__for_each_entry_reverse'
87 - '__perf_evlist__for_each_entry_safe'
43120879
MO
88 - '__rq_for_each_bio'
89 - '__shost_for_each_device'
d4ef8d3f
MO
90 - 'apei_estatus_for_each_section'
91 - 'ata_for_each_dev'
92 - 'ata_for_each_link'
99e309b6
JG
93 - 'ata_qc_for_each'
94 - 'ata_qc_for_each_raw'
95 - 'ata_qc_for_each_with_internal'
d4ef8d3f
MO
96 - 'ax25_for_each'
97 - 'ax25_uid_for_each'
f16628d6 98 - 'bio_for_each_bvec'
1072c12d 99 - 'bio_for_each_bvec_all'
88217894 100 - 'bio_for_each_folio_all'
d4ef8d3f 101 - 'bio_for_each_integrity_vec'
d4ef8d3f
MO
102 - 'bio_for_each_segment'
103 - 'bio_for_each_segment_all'
104 - 'bio_list_for_each'
105 - 'bip_for_each_vec'
d4ef8d3f
MO
106 - 'bond_for_each_slave'
107 - 'bond_for_each_slave_rcu'
49bb63a2
MS
108 - 'bpf__perf_for_each_map'
109 - 'bpf__perf_for_each_map_named'
99e309b6 110 - 'bpf_for_each_spilled_reg'
49bb63a2
MS
111 - 'bpf_object__for_each_map'
112 - 'bpf_object__for_each_program'
113 - 'bpf_object__for_each_safe'
114 - 'bpf_perf_object__for_each'
d4ef8d3f
MO
115 - 'btree_for_each_safe128'
116 - 'btree_for_each_safe32'
117 - 'btree_for_each_safe64'
118 - 'btree_for_each_safel'
119 - 'card_for_each_dev'
120 - 'cgroup_taskset_for_each'
121 - 'cgroup_taskset_for_each_leader'
88217894 122 - 'cpufreq_for_each_efficient_entry_idx'
d4ef8d3f
MO
123 - 'cpufreq_for_each_entry'
124 - 'cpufreq_for_each_entry_idx'
125 - 'cpufreq_for_each_valid_entry'
126 - 'cpufreq_for_each_valid_entry_idx'
127 - 'css_for_each_child'
128 - 'css_for_each_descendant_post'
129 - 'css_for_each_descendant_pre'
88217894
MO
130 - 'damon_for_each_region'
131 - 'damon_for_each_region_safe'
132 - 'damon_for_each_scheme'
133 - 'damon_for_each_scheme_safe'
134 - 'damon_for_each_target'
135 - 'damon_for_each_target_safe'
49bb63a2
MS
136 - 'data__for_each_file'
137 - 'data__for_each_file_new'
138 - 'data__for_each_file_start'
d4ef8d3f 139 - 'device_for_each_child_node'
4792f9dd 140 - 'displayid_iter_for_each'
88217894 141 - 'dma_fence_array_for_each'
52d08347 142 - 'dma_fence_chain_for_each'
88217894
MO
143 - 'dma_fence_unwrap_for_each'
144 - 'dma_resv_for_each_fence'
145 - 'dma_resv_for_each_fence_unlocked'
4e4bb894 146 - 'do_for_each_ftrace_op'
d4ef8d3f
MO
147 - 'drm_atomic_crtc_for_each_plane'
148 - 'drm_atomic_crtc_state_for_each_plane'
149 - 'drm_atomic_crtc_state_for_each_plane_state'
99e309b6 150 - 'drm_atomic_for_each_plane_damage'
52d08347
MO
151 - 'drm_client_for_each_connector_iter'
152 - 'drm_client_for_each_modeset'
99e309b6 153 - 'drm_connector_for_each_possible_encoder'
11a4a8f7 154 - 'drm_for_each_bridge_in_chain'
d4ef8d3f
MO
155 - 'drm_for_each_connector_iter'
156 - 'drm_for_each_crtc'
1074f8ec 157 - 'drm_for_each_crtc_reverse'
d4ef8d3f
MO
158 - 'drm_for_each_encoder'
159 - 'drm_for_each_encoder_mask'
160 - 'drm_for_each_fb'
161 - 'drm_for_each_legacy_plane'
162 - 'drm_for_each_plane'
163 - 'drm_for_each_plane_mask'
f16628d6 164 - 'drm_for_each_privobj'
d4ef8d3f
MO
165 - 'drm_mm_for_each_hole'
166 - 'drm_mm_for_each_node'
167 - 'drm_mm_for_each_node_in_range'
168 - 'drm_mm_for_each_node_safe'
88217894
MO
169 - 'dsa_switch_for_each_available_port'
170 - 'dsa_switch_for_each_cpu_port'
171 - 'dsa_switch_for_each_port'
172 - 'dsa_switch_for_each_port_continue_reverse'
173 - 'dsa_switch_for_each_port_safe'
174 - 'dsa_switch_for_each_user_port'
175 - 'dsa_tree_for_each_user_port'
49bb63a2
MS
176 - 'dso__for_each_symbol'
177 - 'dsos__for_each_with_build_id'
178 - 'elf_hash_for_each_possible'
179 - 'elf_section__for_each_rel'
180 - 'elf_section__for_each_rela'
181 - 'elf_symtab__for_each_symbol'
182 - 'evlist__for_each_cpu'
183 - 'evlist__for_each_entry'
184 - 'evlist__for_each_entry_continue'
185 - 'evlist__for_each_entry_from'
186 - 'evlist__for_each_entry_reverse'
187 - 'evlist__for_each_entry_safe'
f16628d6 188 - 'flow_action_for_each'
4792f9dd 189 - 'for_each_acpi_dev_match'
52d08347 190 - 'for_each_active_dev_scope'
d4ef8d3f
MO
191 - 'for_each_active_drhd_unit'
192 - 'for_each_active_iommu'
837f92f0 193 - 'for_each_active_route'
4e4bb894 194 - 'for_each_aggr_pgid'
d4ef8d3f 195 - 'for_each_available_child_of_node'
49bb63a2 196 - 'for_each_bench'
d4ef8d3f
MO
197 - 'for_each_bio'
198 - 'for_each_board_func_rsrc'
49bb63a2
MS
199 - 'for_each_btf_ext_rec'
200 - 'for_each_btf_ext_sec'
d4ef8d3f 201 - 'for_each_bvec'
11a4a8f7
MO
202 - 'for_each_card_auxs'
203 - 'for_each_card_auxs_safe'
99e309b6 204 - 'for_each_card_components'
5d65a021 205 - 'for_each_card_dapms'
11a4a8f7 206 - 'for_each_card_pre_auxs'
99e309b6
JG
207 - 'for_each_card_prelinks'
208 - 'for_each_card_rtds'
209 - 'for_each_card_rtds_safe'
5d65a021
MO
210 - 'for_each_card_widgets'
211 - 'for_each_card_widgets_safe'
99e309b6 212 - 'for_each_cgroup_storage_type'
d4ef8d3f
MO
213 - 'for_each_child_of_node'
214 - 'for_each_clear_bit'
215 - 'for_each_clear_bit_from'
88217894
MO
216 - 'for_each_clear_bitrange'
217 - 'for_each_clear_bitrange_from'
49bb63a2 218 - 'for_each_cmd'
d4ef8d3f 219 - 'for_each_cmsghdr'
49bb63a2 220 - 'for_each_collection'
43120879 221 - 'for_each_comp_order'
d4ef8d3f 222 - 'for_each_compatible_node'
99e309b6
JG
223 - 'for_each_component_dais'
224 - 'for_each_component_dais_safe'
d4ef8d3f 225 - 'for_each_console'
6c4afa79 226 - 'for_each_console_srcu'
d4ef8d3f
MO
227 - 'for_each_cpu'
228 - 'for_each_cpu_and'
d4ef8d3f 229 - 'for_each_cpu_wrap'
5d65a021 230 - 'for_each_dapm_widgets'
49bb63a2 231 - 'for_each_dedup_cand'
d4ef8d3f 232 - 'for_each_dev_addr'
52d08347 233 - 'for_each_dev_scope'
d4ef8d3f 234 - 'for_each_dma_cap_mask'
99e309b6
JG
235 - 'for_each_dpcm_be'
236 - 'for_each_dpcm_be_rollback'
237 - 'for_each_dpcm_be_safe'
238 - 'for_each_dpcm_fe'
d4ef8d3f
MO
239 - 'for_each_drhd_unit'
240 - 'for_each_dss_dev'
241 - 'for_each_efi_memory_desc'
242 - 'for_each_efi_memory_desc_in_map'
f16628d6
MO
243 - 'for_each_element'
244 - 'for_each_element_extid'
245 - 'for_each_element_id'
d4ef8d3f 246 - 'for_each_endpoint_of_node'
49bb63a2
MS
247 - 'for_each_event'
248 - 'for_each_event_tps'
d4ef8d3f
MO
249 - 'for_each_evictable_lru'
250 - 'for_each_fib6_node_rt_rcu'
251 - 'for_each_fib6_walker_rt'
52d08347
MO
252 - 'for_each_free_mem_pfn_range_in_zone'
253 - 'for_each_free_mem_pfn_range_in_zone_from'
d4ef8d3f
MO
254 - 'for_each_free_mem_range'
255 - 'for_each_free_mem_range_reverse'
256 - 'for_each_func_rsrc'
49bb63a2
MS
257 - 'for_each_group_evsel'
258 - 'for_each_group_member'
d4ef8d3f
MO
259 - 'for_each_hstate'
260 - 'for_each_if'
49bb63a2
MS
261 - 'for_each_inject_fn'
262 - 'for_each_insn'
263 - 'for_each_insn_prefix'
264 - 'for_each_intid'
d4ef8d3f
MO
265 - 'for_each_iommu'
266 - 'for_each_ip_tunnel_rcu'
267 - 'for_each_irq_nr'
49bb63a2 268 - 'for_each_lang'
99e309b6 269 - 'for_each_link_codecs'
5d65a021 270 - 'for_each_link_cpus'
52d08347 271 - 'for_each_link_platforms'
d4ef8d3f
MO
272 - 'for_each_lru'
273 - 'for_each_matching_node'
274 - 'for_each_matching_node_and_match'
d4ef8d3f
MO
275 - 'for_each_mem_pfn_range'
276 - 'for_each_mem_range'
277 - 'for_each_mem_range_rev'
1074f8ec 278 - 'for_each_mem_region'
43120879 279 - 'for_each_member'
49bb63a2 280 - 'for_each_memory'
d4ef8d3f 281 - 'for_each_migratetype_order'
49bb63a2 282 - 'for_each_missing_reg'
d4ef8d3f 283 - 'for_each_net'
11a4a8f7 284 - 'for_each_net_continue_reverse'
43120879 285 - 'for_each_net_rcu'
d4ef8d3f
MO
286 - 'for_each_netdev'
287 - 'for_each_netdev_continue'
288 - 'for_each_netdev_continue_rcu'
11a4a8f7 289 - 'for_each_netdev_continue_reverse'
d4ef8d3f
MO
290 - 'for_each_netdev_feature'
291 - 'for_each_netdev_in_bond_rcu'
292 - 'for_each_netdev_rcu'
293 - 'for_each_netdev_reverse'
294 - 'for_each_netdev_safe'
d4ef8d3f
MO
295 - 'for_each_new_connector_in_state'
296 - 'for_each_new_crtc_in_state'
f16628d6 297 - 'for_each_new_mst_mgr_in_state'
d4ef8d3f 298 - 'for_each_new_plane_in_state'
88217894 299 - 'for_each_new_plane_in_state_reverse'
d4ef8d3f 300 - 'for_each_new_private_obj_in_state'
49bb63a2 301 - 'for_each_new_reg'
d4ef8d3f
MO
302 - 'for_each_node'
303 - 'for_each_node_by_name'
304 - 'for_each_node_by_type'
305 - 'for_each_node_mask'
306 - 'for_each_node_state'
307 - 'for_each_node_with_cpus'
308 - 'for_each_node_with_property'
4e4bb894 309 - 'for_each_nonreserved_multicast_dest_pgid'
d4ef8d3f
MO
310 - 'for_each_of_allnodes'
311 - 'for_each_of_allnodes_from'
99e309b6 312 - 'for_each_of_cpu_node'
d4ef8d3f
MO
313 - 'for_each_of_pci_range'
314 - 'for_each_old_connector_in_state'
315 - 'for_each_old_crtc_in_state'
f16628d6 316 - 'for_each_old_mst_mgr_in_state'
43120879
MO
317 - 'for_each_old_plane_in_state'
318 - 'for_each_old_private_obj_in_state'
d4ef8d3f
MO
319 - 'for_each_oldnew_connector_in_state'
320 - 'for_each_oldnew_crtc_in_state'
f16628d6 321 - 'for_each_oldnew_mst_mgr_in_state'
d4ef8d3f 322 - 'for_each_oldnew_plane_in_state'
99e309b6 323 - 'for_each_oldnew_plane_in_state_reverse'
d4ef8d3f 324 - 'for_each_oldnew_private_obj_in_state'
d4ef8d3f
MO
325 - 'for_each_online_cpu'
326 - 'for_each_online_node'
327 - 'for_each_online_pgdat'
49bb63a2 328 - 'for_each_path'
d4ef8d3f
MO
329 - 'for_each_pci_bridge'
330 - 'for_each_pci_dev'
5d65a021 331 - 'for_each_pcm_streams'
4e4bb894 332 - 'for_each_physmem_range'
d4ef8d3f
MO
333 - 'for_each_populated_zone'
334 - 'for_each_possible_cpu'
335 - 'for_each_present_cpu'
336 - 'for_each_prime_number'
337 - 'for_each_prime_number_from'
49bb63a2 338 - 'for_each_probe_cache_entry'
d4ef8d3f
MO
339 - 'for_each_process'
340 - 'for_each_process_thread'
4792f9dd
MO
341 - 'for_each_prop_codec_conf'
342 - 'for_each_prop_dai_codec'
343 - 'for_each_prop_dai_cpu'
344 - 'for_each_prop_dlc_codecs'
345 - 'for_each_prop_dlc_cpus'
346 - 'for_each_prop_dlc_platforms'
d4ef8d3f 347 - 'for_each_property_of_node'
49bb63a2
MS
348 - 'for_each_reg'
349 - 'for_each_reg_filtered'
99e309b6 350 - 'for_each_registered_fb'
4e4bb894
MO
351 - 'for_each_requested_gpio'
352 - 'for_each_requested_gpio_in_range'
9f3d5eaa 353 - 'for_each_reserved_mem_range'
cc6de168 354 - 'for_each_reserved_mem_region'
5d65a021 355 - 'for_each_rtd_codec_dais'
11a4a8f7 356 - 'for_each_rtd_components'
5d65a021 357 - 'for_each_rtd_cpu_dais'
5d65a021 358 - 'for_each_rtd_dais'
49bb63a2
MS
359 - 'for_each_script'
360 - 'for_each_sec'
d4ef8d3f
MO
361 - 'for_each_set_bit'
362 - 'for_each_set_bit_from'
88217894
MO
363 - 'for_each_set_bitrange'
364 - 'for_each_set_bitrange_from'
11a4a8f7 365 - 'for_each_set_clump8'
d4ef8d3f 366 - 'for_each_sg'
d901b276 367 - 'for_each_sg_dma_page'
d4ef8d3f 368 - 'for_each_sg_page'
4e4bb894
MO
369 - 'for_each_sgtable_dma_page'
370 - 'for_each_sgtable_dma_sg'
371 - 'for_each_sgtable_page'
372 - 'for_each_sgtable_sg'
49bb63a2 373 - 'for_each_shell_test'
99e309b6 374 - 'for_each_sibling_event'
f16628d6
MO
375 - 'for_each_subelement'
376 - 'for_each_subelement_extid'
377 - 'for_each_subelement_id'
49bb63a2
MS
378 - 'for_each_sublist'
379 - 'for_each_subsystem'
380 - 'for_each_supported_activate_fn'
381 - 'for_each_supported_inject_fn'
382 - 'for_each_test'
d4ef8d3f 383 - 'for_each_thread'
49bb63a2 384 - 'for_each_token'
4e4bb894 385 - 'for_each_unicast_dest_pgid'
1074f8ec 386 - 'for_each_vsi'
11a4a8f7 387 - 'for_each_wakeup_source'
d4ef8d3f
MO
388 - 'for_each_zone'
389 - 'for_each_zone_zonelist'
390 - 'for_each_zone_zonelist_nodemask'
49bb63a2 391 - 'func_for_each_insn'
d4ef8d3f
MO
392 - 'fwnode_for_each_available_child_node'
393 - 'fwnode_for_each_child_node'
394 - 'fwnode_graph_for_each_endpoint'
395 - 'gadget_for_each_ep'
f16628d6
MO
396 - 'genradix_for_each'
397 - 'genradix_for_each_from'
d4ef8d3f
MO
398 - 'hash_for_each'
399 - 'hash_for_each_possible'
400 - 'hash_for_each_possible_rcu'
401 - 'hash_for_each_possible_rcu_notrace'
402 - 'hash_for_each_possible_safe'
403 - 'hash_for_each_rcu'
404 - 'hash_for_each_safe'
49bb63a2
MS
405 - 'hashmap__for_each_entry'
406 - 'hashmap__for_each_entry_safe'
407 - 'hashmap__for_each_key_entry'
408 - 'hashmap__for_each_key_entry_safe'
d4ef8d3f 409 - 'hctx_for_each_ctx'
49bb63a2
MS
410 - 'hists__for_each_format'
411 - 'hists__for_each_sort_list'
d4ef8d3f
MO
412 - 'hlist_bl_for_each_entry'
413 - 'hlist_bl_for_each_entry_rcu'
414 - 'hlist_bl_for_each_entry_safe'
415 - 'hlist_for_each'
416 - 'hlist_for_each_entry'
417 - 'hlist_for_each_entry_continue'
418 - 'hlist_for_each_entry_continue_rcu'
419 - 'hlist_for_each_entry_continue_rcu_bh'
420 - 'hlist_for_each_entry_from'
421 - 'hlist_for_each_entry_from_rcu'
422 - 'hlist_for_each_entry_rcu'
423 - 'hlist_for_each_entry_rcu_bh'
424 - 'hlist_for_each_entry_rcu_notrace'
425 - 'hlist_for_each_entry_safe'
1074f8ec 426 - 'hlist_for_each_entry_srcu'
d4ef8d3f
MO
427 - 'hlist_for_each_safe'
428 - 'hlist_nulls_for_each_entry'
429 - 'hlist_nulls_for_each_entry_from'
430 - 'hlist_nulls_for_each_entry_rcu'
431 - 'hlist_nulls_for_each_entry_safe'
99e309b6
JG
432 - 'i3c_bus_for_each_i2cdev'
433 - 'i3c_bus_for_each_i3cdev'
d4ef8d3f
MO
434 - 'idr_for_each_entry'
435 - 'idr_for_each_entry_continue'
52d08347 436 - 'idr_for_each_entry_continue_ul'
d4ef8d3f 437 - 'idr_for_each_entry_ul'
52d08347
MO
438 - 'in_dev_for_each_ifa_rcu'
439 - 'in_dev_for_each_ifa_rtnl'
d4ef8d3f 440 - 'inet_bind_bucket_for_each'
88217894
MO
441 - 'inet_lhash2_for_each_icsk'
442 - 'inet_lhash2_for_each_icsk_continue'
d4ef8d3f 443 - 'inet_lhash2_for_each_icsk_rcu'
f394576e 444 - 'interval_tree_for_each_double_span'
5fe93786 445 - 'interval_tree_for_each_span'
49bb63a2
MS
446 - 'intlist__for_each_entry'
447 - 'intlist__for_each_entry_safe'
51fe6141 448 - 'iopt_for_each_contig_area'
49bb63a2 449 - 'kcore_copy__for_each_phdr'
d4ef8d3f
MO
450 - 'key_for_each'
451 - 'key_for_each_safe'
452 - 'klp_for_each_func'
f16628d6
MO
453 - 'klp_for_each_func_safe'
454 - 'klp_for_each_func_static'
d4ef8d3f 455 - 'klp_for_each_object'
f16628d6
MO
456 - 'klp_for_each_object_safe'
457 - 'klp_for_each_object_static'
5d65a021 458 - 'kunit_suite_for_each_test_case'
d4ef8d3f 459 - 'kvm_for_each_memslot'
88217894 460 - 'kvm_for_each_memslot_in_gfn_range'
d4ef8d3f 461 - 'kvm_for_each_vcpu'
49bb63a2 462 - 'libbpf_nla_for_each_attr'
d4ef8d3f 463 - 'list_for_each'
99e309b6
JG
464 - 'list_for_each_codec'
465 - 'list_for_each_codec_safe'
11a4a8f7 466 - 'list_for_each_continue'
d4ef8d3f
MO
467 - 'list_for_each_entry'
468 - 'list_for_each_entry_continue'
469 - 'list_for_each_entry_continue_rcu'
470 - 'list_for_each_entry_continue_reverse'
471 - 'list_for_each_entry_from'
99e309b6 472 - 'list_for_each_entry_from_rcu'
d4ef8d3f
MO
473 - 'list_for_each_entry_from_reverse'
474 - 'list_for_each_entry_lockless'
475 - 'list_for_each_entry_rcu'
476 - 'list_for_each_entry_reverse'
477 - 'list_for_each_entry_safe'
478 - 'list_for_each_entry_safe_continue'
479 - 'list_for_each_entry_safe_from'
480 - 'list_for_each_entry_safe_reverse'
1074f8ec 481 - 'list_for_each_entry_srcu'
49bb63a2 482 - 'list_for_each_from'
d4ef8d3f
MO
483 - 'list_for_each_prev'
484 - 'list_for_each_prev_safe'
485 - 'list_for_each_safe'
486 - 'llist_for_each'
487 - 'llist_for_each_entry'
488 - 'llist_for_each_entry_safe'
489 - 'llist_for_each_safe'
49bb63a2
MS
490 - 'map__for_each_symbol'
491 - 'map__for_each_symbol_by_name'
492 - 'map_for_each_event'
493 - 'map_for_each_metric'
494 - 'maps__for_each_entry'
495 - 'maps__for_each_entry_safe'
11a4a8f7 496 - 'mci_for_each_dimm'
d4ef8d3f
MO
497 - 'media_device_for_each_entity'
498 - 'media_device_for_each_intf'
499 - 'media_device_for_each_link'
500 - 'media_device_for_each_pad'
88217894 501 - 'msi_for_each_desc'
99e309b6 502 - 'nanddev_io_for_each_page'
d4ef8d3f
MO
503 - 'netdev_for_each_lower_dev'
504 - 'netdev_for_each_lower_private'
505 - 'netdev_for_each_lower_private_rcu'
506 - 'netdev_for_each_mc_addr'
507 - 'netdev_for_each_uc_addr'
508 - 'netdev_for_each_upper_dev_rcu'
509 - 'netdev_hw_addr_list_for_each'
510 - 'nft_rule_for_each_expr'
511 - 'nla_for_each_attr'
512 - 'nla_for_each_nested'
513 - 'nlmsg_for_each_attr'
514 - 'nlmsg_for_each_msg'
515 - 'nr_neigh_for_each'
516 - 'nr_neigh_for_each_safe'
517 - 'nr_node_for_each'
518 - 'nr_node_for_each_safe'
519 - 'of_for_each_phandle'
520 - 'of_property_for_each_string'
521 - 'of_property_for_each_u32'
522 - 'pci_bus_for_each_resource'
09cc9006 523 - 'pci_dev_for_each_resource'
1074f8ec
MO
524 - 'pcl_for_each_chunk'
525 - 'pcl_for_each_segment'
5d65a021 526 - 'pcm_for_each_format'
49bb63a2
MS
527 - 'perf_config_items__for_each_entry'
528 - 'perf_config_sections__for_each_entry'
529 - 'perf_config_set__for_each_entry'
530 - 'perf_cpu_map__for_each_cpu'
531 - 'perf_evlist__for_each_entry'
532 - 'perf_evlist__for_each_entry_reverse'
533 - 'perf_evlist__for_each_entry_safe'
534 - 'perf_evlist__for_each_evsel'
535 - 'perf_evlist__for_each_mmap'
536 - 'perf_hpp_list__for_each_format'
537 - 'perf_hpp_list__for_each_format_safe'
538 - 'perf_hpp_list__for_each_sort_list'
539 - 'perf_hpp_list__for_each_sort_list_safe'
540 - 'perf_pmu__for_each_hybrid_pmu'
d4ef8d3f 541 - 'ping_portaddr_for_each_entry'
c25b7a7a 542 - 'ping_portaddr_for_each_entry_rcu'
d4ef8d3f
MO
543 - 'plist_for_each'
544 - 'plist_for_each_continue'
545 - 'plist_for_each_entry'
546 - 'plist_for_each_entry_continue'
547 - 'plist_for_each_entry_safe'
548 - 'plist_for_each_safe'
549 - 'pnp_for_each_card'
550 - 'pnp_for_each_dev'
551 - 'protocol_for_each_card'
552 - 'protocol_for_each_dev'
553 - 'queue_for_each_hw_ctx'
d4ef8d3f
MO
554 - 'radix_tree_for_each_slot'
555 - 'radix_tree_for_each_tagged'
4792f9dd 556 - 'rb_for_each'
d4ef8d3f 557 - 'rbtree_postorder_for_each_entry_safe'
52d08347 558 - 'rdma_for_each_block'
ea1075ed 559 - 'rdma_for_each_port'
ebc24096 560 - 'rdma_umem_for_each_dma_block'
49bb63a2 561 - 'resort_rb__for_each_entry'
d4ef8d3f
MO
562 - 'resource_list_for_each_entry'
563 - 'resource_list_for_each_entry_safe'
564 - 'rhl_for_each_entry_rcu'
565 - 'rhl_for_each_rcu'
566 - 'rht_for_each'
d4ef8d3f 567 - 'rht_for_each_entry'
f7ad68bf 568 - 'rht_for_each_entry_from'
d4ef8d3f 569 - 'rht_for_each_entry_rcu'
f7ad68bf 570 - 'rht_for_each_entry_rcu_from'
d4ef8d3f 571 - 'rht_for_each_entry_safe'
52d08347 572 - 'rht_for_each_from'
d4ef8d3f 573 - 'rht_for_each_rcu'
f7ad68bf 574 - 'rht_for_each_rcu_from'
f16628d6 575 - 'rq_for_each_bvec'
d4ef8d3f 576 - 'rq_for_each_segment'
88217894
MO
577 - 'rq_list_for_each'
578 - 'rq_list_for_each_safe'
d4ef8d3f
MO
579 - 'scsi_for_each_prot_sg'
580 - 'scsi_for_each_sg'
581 - 'sctp_for_each_hentry'
582 - 'sctp_skb_for_each'
49bb63a2
MS
583 - 'sec_for_each_insn'
584 - 'sec_for_each_insn_continue'
585 - 'sec_for_each_insn_from'
d4ef8d3f 586 - 'shdma_for_each_chan'
d4ef8d3f
MO
587 - 'shost_for_each_device'
588 - 'sk_for_each'
589 - 'sk_for_each_bound'
590 - 'sk_for_each_entry_offset_rcu'
591 - 'sk_for_each_from'
592 - 'sk_for_each_rcu'
593 - 'sk_for_each_safe'
594 - 'sk_nulls_for_each'
595 - 'sk_nulls_for_each_from'
596 - 'sk_nulls_for_each_rcu'
99e309b6 597 - 'snd_array_for_each'
d4ef8d3f
MO
598 - 'snd_pcm_group_for_each_entry'
599 - 'snd_soc_dapm_widget_for_each_path'
600 - 'snd_soc_dapm_widget_for_each_path_safe'
601 - 'snd_soc_dapm_widget_for_each_sink_path'
602 - 'snd_soc_dapm_widget_for_each_source_path'
49bb63a2
MS
603 - 'strlist__for_each_entry'
604 - 'strlist__for_each_entry_safe'
605 - 'sym_for_each_insn'
606 - 'sym_for_each_insn_continue_reverse'
607 - 'symbols__for_each_entry'
d4ef8d3f 608 - 'tb_property_for_each'
88217894 609 - 'tcf_act_for_each_action'
99e309b6 610 - 'tcf_exts_for_each_action'
d4ef8d3f
MO
611 - 'udp_portaddr_for_each_entry'
612 - 'udp_portaddr_for_each_entry_rcu'
613 - 'usb_hub_for_each_child'
614 - 'v4l2_device_for_each_subdev'
615 - 'v4l2_m2m_for_each_dst_buf'
616 - 'v4l2_m2m_for_each_dst_buf_safe'
617 - 'v4l2_m2m_for_each_src_buf'
618 - 'v4l2_m2m_for_each_src_buf_safe'
99e309b6 619 - 'virtio_device_for_each_vq'
4e4bb894 620 - 'while_for_each_ftrace_op'
99e309b6 621 - 'xa_for_each'
f16628d6 622 - 'xa_for_each_marked'
11a4a8f7 623 - 'xa_for_each_range'
f16628d6 624 - 'xa_for_each_start'
99e309b6
JG
625 - 'xas_for_each'
626 - 'xas_for_each_conflict'
627 - 'xas_for_each_marked'
11a4a8f7
MO
628 - 'xbc_array_for_each_value'
629 - 'xbc_for_each_key_value'
630 - 'xbc_node_for_each_array_value'
631 - 'xbc_node_for_each_child'
632 - 'xbc_node_for_each_key_value'
88217894 633 - 'xbc_node_for_each_subkey'
d4ef8d3f
MO
634 - 'zorro_for_each_dev'
635
96232c7d 636IncludeBlocks: Preserve
d4ef8d3f
MO
637IncludeCategories:
638 - Regex: '.*'
639 Priority: 1
640IncludeIsMainRegex: '(Test)?$'
641IndentCaseLabels: false
d7f66043 642IndentGotoLabels: false
96232c7d 643IndentPPDirectives: None
d4ef8d3f 644IndentWidth: 8
7bee9bd2 645IndentWrappedFunctionNames: false
d4ef8d3f
MO
646JavaScriptQuotes: Leave
647JavaScriptWrapImports: true
648KeepEmptyLinesAtTheStartOfBlocks: false
649MacroBlockBegin: ''
650MacroBlockEnd: ''
651MaxEmptyLinesToKeep: 1
c90f3b8c 652NamespaceIndentation: None
96232c7d 653ObjCBinPackProtocolList: Auto
d4ef8d3f
MO
654ObjCBlockIndentWidth: 8
655ObjCSpaceAfterProperty: true
656ObjCSpaceBeforeProtocolList: true
657
658# Taken from git's rules
96232c7d 659PenaltyBreakAssignment: 10
d4ef8d3f
MO
660PenaltyBreakBeforeFirstCallParameter: 30
661PenaltyBreakComment: 10
662PenaltyBreakFirstLessLess: 0
663PenaltyBreakString: 10
664PenaltyExcessCharacter: 100
665PenaltyReturnTypeOnItsOwnLine: 60
666
667PointerAlignment: Right
668ReflowComments: false
669SortIncludes: false
96232c7d 670SortUsingDeclarations: false
d4ef8d3f
MO
671SpaceAfterCStyleCast: false
672SpaceAfterTemplateKeyword: true
673SpaceBeforeAssignmentOperators: true
96232c7d
MS
674SpaceBeforeCtorInitializerColon: true
675SpaceBeforeInheritanceColon: true
781121a7 676SpaceBeforeParens: ControlStatementsExceptForEachMacros
96232c7d 677SpaceBeforeRangeBasedForLoopColon: true
d4ef8d3f
MO
678SpaceInEmptyParentheses: false
679SpacesBeforeTrailingComments: 1
680SpacesInAngles: false
681SpacesInContainerLiterals: false
682SpacesInCStyleCastParentheses: false
683SpacesInParentheses: false
684SpacesInSquareBrackets: false
685Standard: Cpp03
686TabWidth: 8
687UseTab: Always
688...