Merge tag 'clk-for-linus-4.5' of git://git.kernel.org/pub/scm/linux/kernel/git/clk...
[linux-2.6-block.git] / arch / arm / mach-davinci / sram.c
... / ...
CommitLineData
1/*
2 * mach-davinci/sram.c - DaVinci simple SRAM allocator
3 *
4 * Copyright (C) 2009 David Brownell
5 *
6 * This program is free software; you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation; either version 2 of the License, or
9 * (at your option) any later version.
10 */
11#include <linux/module.h>
12#include <linux/init.h>
13#include <linux/io.h>
14#include <linux/genalloc.h>
15
16#include <mach/common.h>
17#include <mach/sram.h>
18
19static struct gen_pool *sram_pool;
20
21struct gen_pool *sram_get_gen_pool(void)
22{
23 return sram_pool;
24}
25
26void *sram_alloc(size_t len, dma_addr_t *dma)
27{
28 dma_addr_t dma_base = davinci_soc_info.sram_dma;
29
30 if (dma)
31 *dma = 0;
32 if (!sram_pool || (dma && !dma_base))
33 return NULL;
34
35 return gen_pool_dma_alloc(sram_pool, len, dma);
36
37}
38EXPORT_SYMBOL(sram_alloc);
39
40void sram_free(void *addr, size_t len)
41{
42 gen_pool_free(sram_pool, (unsigned long) addr, len);
43}
44EXPORT_SYMBOL(sram_free);
45
46
47/*
48 * REVISIT This supports CPU and DMA access to/from SRAM, but it
49 * doesn't (yet?) support some other notable uses of SRAM: as TCM
50 * for data and/or instructions; and holding code needed to enter
51 * and exit suspend states (while DRAM can't be used).
52 */
53static int __init sram_init(void)
54{
55 phys_addr_t phys = davinci_soc_info.sram_dma;
56 unsigned len = davinci_soc_info.sram_len;
57 int status = 0;
58 void __iomem *addr;
59
60 if (len) {
61 len = min_t(unsigned, len, SRAM_SIZE);
62 sram_pool = gen_pool_create(ilog2(SRAM_GRANULARITY), -1);
63 if (!sram_pool)
64 status = -ENOMEM;
65 }
66
67 if (sram_pool) {
68 addr = ioremap(phys, len);
69 if (!addr)
70 return -ENOMEM;
71 status = gen_pool_add_virt(sram_pool, (unsigned long) addr,
72 phys, len, -1);
73 if (status < 0)
74 iounmap(addr);
75 }
76
77 WARN_ON(status < 0);
78 return status;
79}
80core_initcall(sram_init);
81