mac80211: clean up beacon interval settings
[linux-block.git] / net / mac80211 / util.c
CommitLineData
c2d1560a
JB
1/*
2 * Copyright 2002-2005, Instant802 Networks, Inc.
3 * Copyright 2005-2006, Devicescape Software, Inc.
4 * Copyright 2006-2007 Jiri Benc <jbenc@suse.cz>
5 * Copyright 2007 Johannes Berg <johannes@sipsolutions.net>
6 *
7 * This program is free software; you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License version 2 as
9 * published by the Free Software Foundation.
10 *
11 * utilities for mac80211
12 */
13
14#include <net/mac80211.h>
15#include <linux/netdevice.h>
16#include <linux/types.h>
17#include <linux/slab.h>
18#include <linux/skbuff.h>
19#include <linux/etherdevice.h>
20#include <linux/if_arp.h>
21#include <linux/wireless.h>
22#include <linux/bitmap.h>
d91f36db 23#include <linux/crc32.h>
881d966b 24#include <net/net_namespace.h>
c2d1560a 25#include <net/cfg80211.h>
dabeb344 26#include <net/rtnetlink.h>
c2d1560a
JB
27
28#include "ieee80211_i.h"
2c8dccc7 29#include "rate.h"
ee385855 30#include "mesh.h"
c2d1560a 31#include "wme.h"
f2753ddb 32#include "led.h"
c2d1560a
JB
33
34/* privid for wiphys to determine whether they belong to us or not */
35void *mac80211_wiphy_privid = &mac80211_wiphy_privid;
36
37/* See IEEE 802.1H for LLC/SNAP encapsulation/decapsulation */
38/* Ethernet-II snap header (RFC1042 for most EtherTypes) */
c97c23e3 39const unsigned char rfc1042_header[] __aligned(2) =
c2d1560a
JB
40 { 0xaa, 0xaa, 0x03, 0x00, 0x00, 0x00 };
41
42/* Bridge-Tunnel header (for EtherTypes ETH_P_AARP and ETH_P_IPX) */
c97c23e3 43const unsigned char bridge_tunnel_header[] __aligned(2) =
c2d1560a
JB
44 { 0xaa, 0xaa, 0x03, 0x00, 0x00, 0xf8 };
45
9a95371a
LR
46struct ieee80211_hw *wiphy_to_ieee80211_hw(struct wiphy *wiphy)
47{
48 struct ieee80211_local *local;
49 BUG_ON(!wiphy);
50
51 local = wiphy_priv(wiphy);
52 return &local->hw;
53}
54EXPORT_SYMBOL(wiphy_to_ieee80211_hw);
c2d1560a 55
71364716 56u8 *ieee80211_get_bssid(struct ieee80211_hdr *hdr, size_t len,
05c914fe 57 enum nl80211_iftype type)
c2d1560a 58{
a494bb1c 59 __le16 fc = hdr->frame_control;
c2d1560a 60
98f0b0a3
RR
61 /* drop ACK/CTS frames and incorrect hdr len (ctrl) */
62 if (len < 16)
c2d1560a
JB
63 return NULL;
64
a494bb1c 65 if (ieee80211_is_data(fc)) {
98f0b0a3
RR
66 if (len < 24) /* drop incorrect hdr len (data) */
67 return NULL;
a494bb1c
HH
68
69 if (ieee80211_has_a4(fc))
c2d1560a 70 return NULL;
a494bb1c
HH
71 if (ieee80211_has_tods(fc))
72 return hdr->addr1;
73 if (ieee80211_has_fromds(fc))
c2d1560a 74 return hdr->addr2;
a494bb1c
HH
75
76 return hdr->addr3;
77 }
78
79 if (ieee80211_is_mgmt(fc)) {
98f0b0a3
RR
80 if (len < 24) /* drop incorrect hdr len (mgmt) */
81 return NULL;
c2d1560a 82 return hdr->addr3;
a494bb1c
HH
83 }
84
85 if (ieee80211_is_ctl(fc)) {
86 if(ieee80211_is_pspoll(fc))
c2d1560a 87 return hdr->addr1;
a494bb1c
HH
88
89 if (ieee80211_is_back_req(fc)) {
71364716 90 switch (type) {
05c914fe 91 case NL80211_IFTYPE_STATION:
71364716 92 return hdr->addr2;
05c914fe
JB
93 case NL80211_IFTYPE_AP:
94 case NL80211_IFTYPE_AP_VLAN:
71364716
RR
95 return hdr->addr1;
96 default:
a494bb1c 97 break; /* fall through to the return */
71364716
RR
98 }
99 }
c2d1560a
JB
100 }
101
102 return NULL;
103}
104
6693be71
HH
105unsigned int ieee80211_hdrlen(__le16 fc)
106{
107 unsigned int hdrlen = 24;
108
109 if (ieee80211_is_data(fc)) {
110 if (ieee80211_has_a4(fc))
111 hdrlen = 30;
112 if (ieee80211_is_data_qos(fc))
113 hdrlen += IEEE80211_QOS_CTL_LEN;
114 goto out;
115 }
116
117 if (ieee80211_is_ctl(fc)) {
118 /*
119 * ACK and CTS are 10 bytes, all others 16. To see how
120 * to get this condition consider
121 * subtype mask: 0b0000000011110000 (0x00F0)
122 * ACK subtype: 0b0000000011010000 (0x00D0)
123 * CTS subtype: 0b0000000011000000 (0x00C0)
124 * bits that matter: ^^^ (0x00E0)
125 * value of those: 0b0000000011000000 (0x00C0)
126 */
127 if ((fc & cpu_to_le16(0x00E0)) == cpu_to_le16(0x00C0))
128 hdrlen = 10;
129 else
130 hdrlen = 16;
131 }
132out:
133 return hdrlen;
134}
135EXPORT_SYMBOL(ieee80211_hdrlen);
136
c9c6950c 137unsigned int ieee80211_get_hdrlen_from_skb(const struct sk_buff *skb)
c2d1560a 138{
c9c6950c
HH
139 const struct ieee80211_hdr *hdr = (const struct ieee80211_hdr *)skb->data;
140 unsigned int hdrlen;
c2d1560a
JB
141
142 if (unlikely(skb->len < 10))
143 return 0;
c9c6950c 144 hdrlen = ieee80211_hdrlen(hdr->frame_control);
c2d1560a
JB
145 if (unlikely(hdrlen > skb->len))
146 return 0;
147 return hdrlen;
148}
149EXPORT_SYMBOL(ieee80211_get_hdrlen_from_skb);
150
ee385855
LCC
151int ieee80211_get_mesh_hdrlen(struct ieee80211s_hdr *meshhdr)
152{
153 int ae = meshhdr->flags & IEEE80211S_FLAGS_AE;
154 /* 7.1.3.5a.2 */
155 switch (ae) {
156 case 0:
ef269254 157 return 6;
ee385855 158 case 1:
ef269254 159 return 12;
ee385855 160 case 2:
ef269254 161 return 18;
ee385855 162 case 3:
ef269254 163 return 24;
ee385855 164 default:
ef269254 165 return 6;
ee385855
LCC
166 }
167}
ee385855 168
5cf121c3 169void ieee80211_tx_set_protected(struct ieee80211_tx_data *tx)
c2d1560a 170{
2de8e0d9
JB
171 struct sk_buff *skb = tx->skb;
172 struct ieee80211_hdr *hdr;
173
174 do {
175 hdr = (struct ieee80211_hdr *) skb->data;
176 hdr->frame_control |= cpu_to_le16(IEEE80211_FCTL_PROTECTED);
177 } while ((skb = skb->next));
c2d1560a
JB
178}
179
180int ieee80211_frame_duration(struct ieee80211_local *local, size_t len,
181 int rate, int erp, int short_preamble)
182{
183 int dur;
184
185 /* calculate duration (in microseconds, rounded up to next higher
186 * integer if it includes a fractional microsecond) to send frame of
187 * len bytes (does not include FCS) at the given rate. Duration will
188 * also include SIFS.
189 *
190 * rate is in 100 kbps, so divident is multiplied by 10 in the
191 * DIV_ROUND_UP() operations.
192 */
193
8318d78a 194 if (local->hw.conf.channel->band == IEEE80211_BAND_5GHZ || erp) {
c2d1560a
JB
195 /*
196 * OFDM:
197 *
198 * N_DBPS = DATARATE x 4
199 * N_SYM = Ceiling((16+8xLENGTH+6) / N_DBPS)
200 * (16 = SIGNAL time, 6 = tail bits)
201 * TXTIME = T_PREAMBLE + T_SIGNAL + T_SYM x N_SYM + Signal Ext
202 *
203 * T_SYM = 4 usec
204 * 802.11a - 17.5.2: aSIFSTime = 16 usec
205 * 802.11g - 19.8.4: aSIFSTime = 10 usec +
206 * signal ext = 6 usec
207 */
c2d1560a
JB
208 dur = 16; /* SIFS + signal ext */
209 dur += 16; /* 17.3.2.3: T_PREAMBLE = 16 usec */
210 dur += 4; /* 17.3.2.3: T_SIGNAL = 4 usec */
211 dur += 4 * DIV_ROUND_UP((16 + 8 * (len + 4) + 6) * 10,
212 4 * rate); /* T_SYM x N_SYM */
213 } else {
214 /*
215 * 802.11b or 802.11g with 802.11b compatibility:
216 * 18.3.4: TXTIME = PreambleLength + PLCPHeaderTime +
217 * Ceiling(((LENGTH+PBCC)x8)/DATARATE). PBCC=0.
218 *
219 * 802.11 (DS): 15.3.3, 802.11b: 18.3.4
220 * aSIFSTime = 10 usec
221 * aPreambleLength = 144 usec or 72 usec with short preamble
222 * aPLCPHeaderLength = 48 usec or 24 usec with short preamble
223 */
224 dur = 10; /* aSIFSTime = 10 usec */
225 dur += short_preamble ? (72 + 24) : (144 + 48);
226
227 dur += DIV_ROUND_UP(8 * (len + 4) * 10, rate);
228 }
229
230 return dur;
231}
232
233/* Exported duration function for driver use */
32bfd35d
JB
234__le16 ieee80211_generic_frame_duration(struct ieee80211_hw *hw,
235 struct ieee80211_vif *vif,
8318d78a
JB
236 size_t frame_len,
237 struct ieee80211_rate *rate)
c2d1560a
JB
238{
239 struct ieee80211_local *local = hw_to_local(hw);
25d834e1 240 struct ieee80211_sub_if_data *sdata;
c2d1560a
JB
241 u16 dur;
242 int erp;
25d834e1 243 bool short_preamble = false;
c2d1560a 244
8318d78a 245 erp = 0;
25d834e1
JB
246 if (vif) {
247 sdata = vif_to_sdata(vif);
bda3933a 248 short_preamble = sdata->vif.bss_conf.use_short_preamble;
25d834e1
JB
249 if (sdata->flags & IEEE80211_SDATA_OPERATING_GMODE)
250 erp = rate->flags & IEEE80211_RATE_ERP_G;
251 }
8318d78a
JB
252
253 dur = ieee80211_frame_duration(local, frame_len, rate->bitrate, erp,
25d834e1 254 short_preamble);
c2d1560a
JB
255
256 return cpu_to_le16(dur);
257}
258EXPORT_SYMBOL(ieee80211_generic_frame_duration);
259
32bfd35d
JB
260__le16 ieee80211_rts_duration(struct ieee80211_hw *hw,
261 struct ieee80211_vif *vif, size_t frame_len,
e039fa4a 262 const struct ieee80211_tx_info *frame_txctl)
c2d1560a
JB
263{
264 struct ieee80211_local *local = hw_to_local(hw);
265 struct ieee80211_rate *rate;
25d834e1 266 struct ieee80211_sub_if_data *sdata;
471b3efd 267 bool short_preamble;
c2d1560a
JB
268 int erp;
269 u16 dur;
2e92e6f2
JB
270 struct ieee80211_supported_band *sband;
271
272 sband = local->hw.wiphy->bands[local->hw.conf.channel->band];
c2d1560a 273
25d834e1 274 short_preamble = false;
7e9ed188 275
e039fa4a 276 rate = &sband->bitrates[frame_txctl->control.rts_cts_rate_idx];
8318d78a
JB
277
278 erp = 0;
25d834e1
JB
279 if (vif) {
280 sdata = vif_to_sdata(vif);
bda3933a 281 short_preamble = sdata->vif.bss_conf.use_short_preamble;
25d834e1
JB
282 if (sdata->flags & IEEE80211_SDATA_OPERATING_GMODE)
283 erp = rate->flags & IEEE80211_RATE_ERP_G;
284 }
c2d1560a
JB
285
286 /* CTS duration */
8318d78a 287 dur = ieee80211_frame_duration(local, 10, rate->bitrate,
c2d1560a
JB
288 erp, short_preamble);
289 /* Data frame duration */
8318d78a 290 dur += ieee80211_frame_duration(local, frame_len, rate->bitrate,
c2d1560a
JB
291 erp, short_preamble);
292 /* ACK duration */
8318d78a 293 dur += ieee80211_frame_duration(local, 10, rate->bitrate,
c2d1560a
JB
294 erp, short_preamble);
295
296 return cpu_to_le16(dur);
297}
298EXPORT_SYMBOL(ieee80211_rts_duration);
299
32bfd35d
JB
300__le16 ieee80211_ctstoself_duration(struct ieee80211_hw *hw,
301 struct ieee80211_vif *vif,
c2d1560a 302 size_t frame_len,
e039fa4a 303 const struct ieee80211_tx_info *frame_txctl)
c2d1560a
JB
304{
305 struct ieee80211_local *local = hw_to_local(hw);
306 struct ieee80211_rate *rate;
25d834e1 307 struct ieee80211_sub_if_data *sdata;
471b3efd 308 bool short_preamble;
c2d1560a
JB
309 int erp;
310 u16 dur;
2e92e6f2
JB
311 struct ieee80211_supported_band *sband;
312
313 sband = local->hw.wiphy->bands[local->hw.conf.channel->band];
c2d1560a 314
25d834e1 315 short_preamble = false;
7e9ed188 316
e039fa4a 317 rate = &sband->bitrates[frame_txctl->control.rts_cts_rate_idx];
8318d78a 318 erp = 0;
25d834e1
JB
319 if (vif) {
320 sdata = vif_to_sdata(vif);
bda3933a 321 short_preamble = sdata->vif.bss_conf.use_short_preamble;
25d834e1
JB
322 if (sdata->flags & IEEE80211_SDATA_OPERATING_GMODE)
323 erp = rate->flags & IEEE80211_RATE_ERP_G;
324 }
c2d1560a
JB
325
326 /* Data frame duration */
8318d78a 327 dur = ieee80211_frame_duration(local, frame_len, rate->bitrate,
c2d1560a 328 erp, short_preamble);
e039fa4a 329 if (!(frame_txctl->flags & IEEE80211_TX_CTL_NO_ACK)) {
c2d1560a 330 /* ACK duration */
8318d78a 331 dur += ieee80211_frame_duration(local, 10, rate->bitrate,
c2d1560a
JB
332 erp, short_preamble);
333 }
334
335 return cpu_to_le16(dur);
336}
337EXPORT_SYMBOL(ieee80211_ctstoself_duration);
338
ce7c9111
KV
339static void __ieee80211_wake_queue(struct ieee80211_hw *hw, int queue,
340 enum queue_stop_reason reason)
c2d1560a
JB
341{
342 struct ieee80211_local *local = hw_to_local(hw);
343
e4e72fb4
JB
344 if (WARN_ON(queue >= hw->queues))
345 return;
ce7c9111 346
96f5e66e
JB
347 __clear_bit(reason, &local->queue_stop_reasons[queue]);
348
2a577d98
JB
349 if (!skb_queue_empty(&local->pending[queue]) &&
350 local->queue_stop_reasons[queue] ==
351 BIT(IEEE80211_QUEUE_STOP_REASON_PENDING))
352 tasklet_schedule(&local->tx_pending_tasklet);
353
96f5e66e
JB
354 if (local->queue_stop_reasons[queue] != 0)
355 /* someone still has this queue stopped */
356 return;
357
2a577d98 358 netif_wake_subqueue(local->mdev, queue);
c2d1560a 359}
ce7c9111 360
96f5e66e
JB
361void ieee80211_wake_queue_by_reason(struct ieee80211_hw *hw, int queue,
362 enum queue_stop_reason reason)
ce7c9111
KV
363{
364 struct ieee80211_local *local = hw_to_local(hw);
365 unsigned long flags;
366
367 spin_lock_irqsave(&local->queue_stop_reason_lock, flags);
368 __ieee80211_wake_queue(hw, queue, reason);
369 spin_unlock_irqrestore(&local->queue_stop_reason_lock, flags);
370}
371
372void ieee80211_wake_queue(struct ieee80211_hw *hw, int queue)
373{
374 ieee80211_wake_queue_by_reason(hw, queue,
375 IEEE80211_QUEUE_STOP_REASON_DRIVER);
376}
c2d1560a
JB
377EXPORT_SYMBOL(ieee80211_wake_queue);
378
ce7c9111
KV
379static void __ieee80211_stop_queue(struct ieee80211_hw *hw, int queue,
380 enum queue_stop_reason reason)
c2d1560a
JB
381{
382 struct ieee80211_local *local = hw_to_local(hw);
383
e4e72fb4
JB
384 if (WARN_ON(queue >= hw->queues))
385 return;
96f5e66e 386
2a577d98
JB
387 /*
388 * Only stop if it was previously running, this is necessary
389 * for correct pending packets handling because there we may
390 * start (but not wake) the queue and rely on that.
391 */
392 if (!local->queue_stop_reasons[queue])
393 netif_stop_subqueue(local->mdev, queue);
ce7c9111 394
2a577d98 395 __set_bit(reason, &local->queue_stop_reasons[queue]);
c2d1560a 396}
ce7c9111 397
96f5e66e
JB
398void ieee80211_stop_queue_by_reason(struct ieee80211_hw *hw, int queue,
399 enum queue_stop_reason reason)
ce7c9111
KV
400{
401 struct ieee80211_local *local = hw_to_local(hw);
402 unsigned long flags;
403
404 spin_lock_irqsave(&local->queue_stop_reason_lock, flags);
405 __ieee80211_stop_queue(hw, queue, reason);
406 spin_unlock_irqrestore(&local->queue_stop_reason_lock, flags);
407}
408
409void ieee80211_stop_queue(struct ieee80211_hw *hw, int queue)
410{
411 ieee80211_stop_queue_by_reason(hw, queue,
412 IEEE80211_QUEUE_STOP_REASON_DRIVER);
413}
c2d1560a
JB
414EXPORT_SYMBOL(ieee80211_stop_queue);
415
ce7c9111
KV
416void ieee80211_stop_queues_by_reason(struct ieee80211_hw *hw,
417 enum queue_stop_reason reason)
c2d1560a 418{
ce7c9111
KV
419 struct ieee80211_local *local = hw_to_local(hw);
420 unsigned long flags;
c2d1560a
JB
421 int i;
422
ce7c9111
KV
423 spin_lock_irqsave(&local->queue_stop_reason_lock, flags);
424
96f5e66e 425 for (i = 0; i < hw->queues; i++)
ce7c9111
KV
426 __ieee80211_stop_queue(hw, i, reason);
427
428 spin_unlock_irqrestore(&local->queue_stop_reason_lock, flags);
429}
430
431void ieee80211_stop_queues(struct ieee80211_hw *hw)
432{
433 ieee80211_stop_queues_by_reason(hw,
434 IEEE80211_QUEUE_STOP_REASON_DRIVER);
c2d1560a
JB
435}
436EXPORT_SYMBOL(ieee80211_stop_queues);
437
92ab8535
TW
438int ieee80211_queue_stopped(struct ieee80211_hw *hw, int queue)
439{
440 struct ieee80211_local *local = hw_to_local(hw);
96f5e66e 441
e4e72fb4
JB
442 if (WARN_ON(queue >= hw->queues))
443 return true;
96f5e66e 444
92ab8535
TW
445 return __netif_subqueue_stopped(local->mdev, queue);
446}
447EXPORT_SYMBOL(ieee80211_queue_stopped);
448
ce7c9111
KV
449void ieee80211_wake_queues_by_reason(struct ieee80211_hw *hw,
450 enum queue_stop_reason reason)
c2d1560a 451{
ce7c9111
KV
452 struct ieee80211_local *local = hw_to_local(hw);
453 unsigned long flags;
c2d1560a
JB
454 int i;
455
ce7c9111
KV
456 spin_lock_irqsave(&local->queue_stop_reason_lock, flags);
457
e4e72fb4 458 for (i = 0; i < hw->queues; i++)
ce7c9111
KV
459 __ieee80211_wake_queue(hw, i, reason);
460
461 spin_unlock_irqrestore(&local->queue_stop_reason_lock, flags);
462}
463
464void ieee80211_wake_queues(struct ieee80211_hw *hw)
465{
466 ieee80211_wake_queues_by_reason(hw, IEEE80211_QUEUE_STOP_REASON_DRIVER);
c2d1560a
JB
467}
468EXPORT_SYMBOL(ieee80211_wake_queues);
dabeb344 469
32bfd35d
JB
470void ieee80211_iterate_active_interfaces(
471 struct ieee80211_hw *hw,
472 void (*iterator)(void *data, u8 *mac,
473 struct ieee80211_vif *vif),
474 void *data)
dabeb344
JB
475{
476 struct ieee80211_local *local = hw_to_local(hw);
477 struct ieee80211_sub_if_data *sdata;
478
c771c9d8 479 mutex_lock(&local->iflist_mtx);
2f561feb
ID
480
481 list_for_each_entry(sdata, &local->interfaces, list) {
482 switch (sdata->vif.type) {
05c914fe
JB
483 case __NL80211_IFTYPE_AFTER_LAST:
484 case NL80211_IFTYPE_UNSPECIFIED:
485 case NL80211_IFTYPE_MONITOR:
486 case NL80211_IFTYPE_AP_VLAN:
2f561feb 487 continue;
05c914fe
JB
488 case NL80211_IFTYPE_AP:
489 case NL80211_IFTYPE_STATION:
490 case NL80211_IFTYPE_ADHOC:
491 case NL80211_IFTYPE_WDS:
492 case NL80211_IFTYPE_MESH_POINT:
2f561feb
ID
493 break;
494 }
2f561feb
ID
495 if (netif_running(sdata->dev))
496 iterator(data, sdata->dev->dev_addr,
497 &sdata->vif);
498 }
499
c771c9d8 500 mutex_unlock(&local->iflist_mtx);
2f561feb
ID
501}
502EXPORT_SYMBOL_GPL(ieee80211_iterate_active_interfaces);
503
504void ieee80211_iterate_active_interfaces_atomic(
505 struct ieee80211_hw *hw,
506 void (*iterator)(void *data, u8 *mac,
507 struct ieee80211_vif *vif),
508 void *data)
509{
510 struct ieee80211_local *local = hw_to_local(hw);
511 struct ieee80211_sub_if_data *sdata;
512
e38bad47 513 rcu_read_lock();
dabeb344 514
e38bad47 515 list_for_each_entry_rcu(sdata, &local->interfaces, list) {
51fb61e7 516 switch (sdata->vif.type) {
05c914fe
JB
517 case __NL80211_IFTYPE_AFTER_LAST:
518 case NL80211_IFTYPE_UNSPECIFIED:
519 case NL80211_IFTYPE_MONITOR:
520 case NL80211_IFTYPE_AP_VLAN:
dabeb344 521 continue;
05c914fe
JB
522 case NL80211_IFTYPE_AP:
523 case NL80211_IFTYPE_STATION:
524 case NL80211_IFTYPE_ADHOC:
525 case NL80211_IFTYPE_WDS:
526 case NL80211_IFTYPE_MESH_POINT:
dabeb344
JB
527 break;
528 }
dabeb344
JB
529 if (netif_running(sdata->dev))
530 iterator(data, sdata->dev->dev_addr,
32bfd35d 531 &sdata->vif);
dabeb344 532 }
e38bad47
JB
533
534 rcu_read_unlock();
dabeb344 535}
2f561feb 536EXPORT_SYMBOL_GPL(ieee80211_iterate_active_interfaces_atomic);
37ffc8da
JB
537
538void ieee802_11_parse_elems(u8 *start, size_t len,
539 struct ieee802_11_elems *elems)
d91f36db
JB
540{
541 ieee802_11_parse_elems_crc(start, len, elems, 0, 0);
542}
543
544u32 ieee802_11_parse_elems_crc(u8 *start, size_t len,
545 struct ieee802_11_elems *elems,
546 u64 filter, u32 crc)
37ffc8da
JB
547{
548 size_t left = len;
549 u8 *pos = start;
d91f36db 550 bool calc_crc = filter != 0;
37ffc8da
JB
551
552 memset(elems, 0, sizeof(*elems));
553 elems->ie_start = start;
554 elems->total_len = len;
555
556 while (left >= 2) {
557 u8 id, elen;
558
559 id = *pos++;
560 elen = *pos++;
561 left -= 2;
562
563 if (elen > left)
d91f36db
JB
564 break;
565
566 if (calc_crc && id < 64 && (filter & BIT(id)))
567 crc = crc32_be(crc, pos - 2, elen + 2);
37ffc8da
JB
568
569 switch (id) {
570 case WLAN_EID_SSID:
571 elems->ssid = pos;
572 elems->ssid_len = elen;
573 break;
574 case WLAN_EID_SUPP_RATES:
575 elems->supp_rates = pos;
576 elems->supp_rates_len = elen;
577 break;
578 case WLAN_EID_FH_PARAMS:
579 elems->fh_params = pos;
580 elems->fh_params_len = elen;
581 break;
582 case WLAN_EID_DS_PARAMS:
583 elems->ds_params = pos;
584 elems->ds_params_len = elen;
585 break;
586 case WLAN_EID_CF_PARAMS:
587 elems->cf_params = pos;
588 elems->cf_params_len = elen;
589 break;
590 case WLAN_EID_TIM:
e7ec86f5
JB
591 if (elen >= sizeof(struct ieee80211_tim_ie)) {
592 elems->tim = (void *)pos;
593 elems->tim_len = elen;
594 }
37ffc8da
JB
595 break;
596 case WLAN_EID_IBSS_PARAMS:
597 elems->ibss_params = pos;
598 elems->ibss_params_len = elen;
599 break;
600 case WLAN_EID_CHALLENGE:
601 elems->challenge = pos;
602 elems->challenge_len = elen;
603 break;
d91f36db 604 case WLAN_EID_VENDOR_SPECIFIC:
37ffc8da
JB
605 if (elen >= 4 && pos[0] == 0x00 && pos[1] == 0x50 &&
606 pos[2] == 0xf2) {
607 /* Microsoft OUI (00:50:F2) */
d91f36db
JB
608
609 if (calc_crc)
610 crc = crc32_be(crc, pos - 2, elen + 2);
611
37ffc8da
JB
612 if (pos[3] == 1) {
613 /* OUI Type 1 - WPA IE */
614 elems->wpa = pos;
615 elems->wpa_len = elen;
616 } else if (elen >= 5 && pos[3] == 2) {
d91f36db 617 /* OUI Type 2 - WMM IE */
37ffc8da
JB
618 if (pos[4] == 0) {
619 elems->wmm_info = pos;
620 elems->wmm_info_len = elen;
621 } else if (pos[4] == 1) {
622 elems->wmm_param = pos;
623 elems->wmm_param_len = elen;
624 }
625 }
626 }
627 break;
628 case WLAN_EID_RSN:
629 elems->rsn = pos;
630 elems->rsn_len = elen;
631 break;
632 case WLAN_EID_ERP_INFO:
633 elems->erp_info = pos;
634 elems->erp_info_len = elen;
635 break;
636 case WLAN_EID_EXT_SUPP_RATES:
637 elems->ext_supp_rates = pos;
638 elems->ext_supp_rates_len = elen;
639 break;
640 case WLAN_EID_HT_CAPABILITY:
09914813
JB
641 if (elen >= sizeof(struct ieee80211_ht_cap))
642 elems->ht_cap_elem = (void *)pos;
37ffc8da 643 break;
d9fe60de
JB
644 case WLAN_EID_HT_INFORMATION:
645 if (elen >= sizeof(struct ieee80211_ht_info))
09914813 646 elems->ht_info_elem = (void *)pos;
37ffc8da
JB
647 break;
648 case WLAN_EID_MESH_ID:
649 elems->mesh_id = pos;
650 elems->mesh_id_len = elen;
651 break;
652 case WLAN_EID_MESH_CONFIG:
653 elems->mesh_config = pos;
654 elems->mesh_config_len = elen;
655 break;
656 case WLAN_EID_PEER_LINK:
657 elems->peer_link = pos;
658 elems->peer_link_len = elen;
659 break;
660 case WLAN_EID_PREQ:
661 elems->preq = pos;
662 elems->preq_len = elen;
663 break;
664 case WLAN_EID_PREP:
665 elems->prep = pos;
666 elems->prep_len = elen;
667 break;
668 case WLAN_EID_PERR:
669 elems->perr = pos;
670 elems->perr_len = elen;
671 break;
672 case WLAN_EID_CHANNEL_SWITCH:
673 elems->ch_switch_elem = pos;
674 elems->ch_switch_elem_len = elen;
675 break;
676 case WLAN_EID_QUIET:
677 if (!elems->quiet_elem) {
678 elems->quiet_elem = pos;
679 elems->quiet_elem_len = elen;
680 }
681 elems->num_of_quiet_elem++;
682 break;
683 case WLAN_EID_COUNTRY:
684 elems->country_elem = pos;
685 elems->country_elem_len = elen;
686 break;
687 case WLAN_EID_PWR_CONSTRAINT:
688 elems->pwr_constr_elem = pos;
689 elems->pwr_constr_elem_len = elen;
690 break;
f797eb7e
JM
691 case WLAN_EID_TIMEOUT_INTERVAL:
692 elems->timeout_int = pos;
693 elems->timeout_int_len = elen;
63a5ab82 694 break;
37ffc8da
JB
695 default:
696 break;
697 }
698
699 left -= elen;
700 pos += elen;
701 }
d91f36db
JB
702
703 return crc;
37ffc8da 704}
5825fe10
JB
705
706void ieee80211_set_wmm_default(struct ieee80211_sub_if_data *sdata)
707{
708 struct ieee80211_local *local = sdata->local;
709 struct ieee80211_tx_queue_params qparam;
710 int i;
711
712 if (!local->ops->conf_tx)
713 return;
714
715 memset(&qparam, 0, sizeof(qparam));
716
717 qparam.aifs = 2;
718
719 if (local->hw.conf.channel->band == IEEE80211_BAND_2GHZ &&
720 !(sdata->flags & IEEE80211_SDATA_OPERATING_GMODE))
721 qparam.cw_min = 31;
722 else
723 qparam.cw_min = 15;
724
725 qparam.cw_max = 1023;
726 qparam.txop = 0;
727
728 for (i = 0; i < local_to_hw(local)->queues; i++)
729 local->ops->conf_tx(local_to_hw(local), i, &qparam);
730}
e50db65c 731
46900298
JB
732void ieee80211_sta_def_wmm_params(struct ieee80211_sub_if_data *sdata,
733 const size_t supp_rates_len,
734 const u8 *supp_rates)
735{
736 struct ieee80211_local *local = sdata->local;
737 int i, have_higher_than_11mbit = 0;
738
739 /* cf. IEEE 802.11 9.2.12 */
740 for (i = 0; i < supp_rates_len; i++)
741 if ((supp_rates[i] & 0x7f) * 5 > 110)
742 have_higher_than_11mbit = 1;
743
744 if (local->hw.conf.channel->band == IEEE80211_BAND_2GHZ &&
745 have_higher_than_11mbit)
746 sdata->flags |= IEEE80211_SDATA_OPERATING_GMODE;
747 else
748 sdata->flags &= ~IEEE80211_SDATA_OPERATING_GMODE;
749
750 ieee80211_set_wmm_default(sdata);
751}
752
e50db65c
JB
753void ieee80211_tx_skb(struct ieee80211_sub_if_data *sdata, struct sk_buff *skb,
754 int encrypt)
755{
756 skb->dev = sdata->local->mdev;
757 skb_set_mac_header(skb, 0);
758 skb_set_network_header(skb, 0);
759 skb_set_transport_header(skb, 0);
760
761 skb->iif = sdata->dev->ifindex;
762 skb->do_not_encrypt = !encrypt;
763
764 dev_queue_xmit(skb);
765}
e16751c3
JB
766
767int ieee80211_set_freq(struct ieee80211_sub_if_data *sdata, int freqMHz)
768{
769 int ret = -EINVAL;
770 struct ieee80211_channel *chan;
771 struct ieee80211_local *local = sdata->local;
772
773 chan = ieee80211_get_channel(local->hw.wiphy, freqMHz);
774
775 if (chan && !(chan->flags & IEEE80211_CHAN_DISABLED)) {
05c914fe 776 if (sdata->vif.type == NL80211_IFTYPE_ADHOC &&
d73782fd 777 chan->flags & IEEE80211_CHAN_NO_IBSS)
e16751c3 778 return ret;
e16751c3 779 local->oper_channel = chan;
094d05dc 780 local->oper_channel_type = NL80211_CHAN_NO_HT;
e16751c3 781
c2b13452 782 if (local->sw_scanning || local->hw_scanning)
e16751c3
JB
783 ret = 0;
784 else
e8975581
JB
785 ret = ieee80211_hw_config(
786 local, IEEE80211_CONF_CHANGE_CHANNEL);
e16751c3
JB
787 }
788
789 return ret;
790}
96dd22ac 791
881d948c 792u32 ieee80211_mandatory_rates(struct ieee80211_local *local,
96dd22ac
JB
793 enum ieee80211_band band)
794{
795 struct ieee80211_supported_band *sband;
796 struct ieee80211_rate *bitrates;
881d948c 797 u32 mandatory_rates;
96dd22ac
JB
798 enum ieee80211_rate_flags mandatory_flag;
799 int i;
800
801 sband = local->hw.wiphy->bands[band];
802 if (!sband) {
803 WARN_ON(1);
804 sband = local->hw.wiphy->bands[local->hw.conf.channel->band];
805 }
806
807 if (band == IEEE80211_BAND_2GHZ)
808 mandatory_flag = IEEE80211_RATE_MANDATORY_B;
809 else
810 mandatory_flag = IEEE80211_RATE_MANDATORY_A;
811
812 bitrates = sband->bitrates;
813 mandatory_rates = 0;
814 for (i = 0; i < sband->n_bitrates; i++)
815 if (bitrates[i].flags & mandatory_flag)
816 mandatory_rates |= BIT(i);
817 return mandatory_rates;
818}
46900298
JB
819
820void ieee80211_send_auth(struct ieee80211_sub_if_data *sdata,
821 u16 transaction, u16 auth_alg,
822 u8 *extra, size_t extra_len,
823 const u8 *bssid, int encrypt)
824{
825 struct ieee80211_local *local = sdata->local;
826 struct sk_buff *skb;
827 struct ieee80211_mgmt *mgmt;
46900298
JB
828
829 skb = dev_alloc_skb(local->hw.extra_tx_headroom +
65fc73ac 830 sizeof(*mgmt) + 6 + extra_len);
46900298
JB
831 if (!skb) {
832 printk(KERN_DEBUG "%s: failed to allocate buffer for auth "
833 "frame\n", sdata->dev->name);
834 return;
835 }
836 skb_reserve(skb, local->hw.extra_tx_headroom);
837
838 mgmt = (struct ieee80211_mgmt *) skb_put(skb, 24 + 6);
839 memset(mgmt, 0, 24 + 6);
840 mgmt->frame_control = cpu_to_le16(IEEE80211_FTYPE_MGMT |
841 IEEE80211_STYPE_AUTH);
842 if (encrypt)
843 mgmt->frame_control |= cpu_to_le16(IEEE80211_FCTL_PROTECTED);
844 memcpy(mgmt->da, bssid, ETH_ALEN);
845 memcpy(mgmt->sa, sdata->dev->dev_addr, ETH_ALEN);
846 memcpy(mgmt->bssid, bssid, ETH_ALEN);
847 mgmt->u.auth.auth_alg = cpu_to_le16(auth_alg);
848 mgmt->u.auth.auth_transaction = cpu_to_le16(transaction);
849 mgmt->u.auth.status_code = cpu_to_le16(0);
850 if (extra)
851 memcpy(skb_put(skb, extra_len), extra, extra_len);
46900298
JB
852
853 ieee80211_tx_skb(sdata, skb, encrypt);
854}
855
de95a54b
JB
856int ieee80211_build_preq_ies(struct ieee80211_local *local, u8 *buffer,
857 const u8 *ie, size_t ie_len)
858{
859 struct ieee80211_supported_band *sband;
860 u8 *pos, *supp_rates_len, *esupp_rates_len = NULL;
861 int i;
862
863 sband = local->hw.wiphy->bands[local->hw.conf.channel->band];
864
865 pos = buffer;
866
867 *pos++ = WLAN_EID_SUPP_RATES;
868 supp_rates_len = pos;
869 *pos++ = 0;
870
871 for (i = 0; i < sband->n_bitrates; i++) {
872 struct ieee80211_rate *rate = &sband->bitrates[i];
873
874 if (esupp_rates_len) {
875 *esupp_rates_len += 1;
876 } else if (*supp_rates_len == 8) {
877 *pos++ = WLAN_EID_EXT_SUPP_RATES;
878 esupp_rates_len = pos;
879 *pos++ = 1;
880 } else
881 *supp_rates_len += 1;
882
883 *pos++ = rate->bitrate / 5;
884 }
885
5ef2d41a
JB
886 if (sband->ht_cap.ht_supported) {
887 __le16 tmp = cpu_to_le16(sband->ht_cap.cap);
888
889 *pos++ = WLAN_EID_HT_CAPABILITY;
890 *pos++ = sizeof(struct ieee80211_ht_cap);
891 memset(pos, 0, sizeof(struct ieee80211_ht_cap));
892 memcpy(pos, &tmp, sizeof(u16));
893 pos += sizeof(u16);
894 /* TODO: needs a define here for << 2 */
895 *pos++ = sband->ht_cap.ampdu_factor |
896 (sband->ht_cap.ampdu_density << 2);
897 memcpy(pos, &sband->ht_cap.mcs, sizeof(sband->ht_cap.mcs));
898 pos += sizeof(sband->ht_cap.mcs);
899 pos += 2 + 4 + 1; /* ext info, BF cap, antsel */
900 }
901
de95a54b
JB
902 /*
903 * If adding more here, adjust code in main.c
904 * that calculates local->scan_ies_len.
905 */
906
907 if (ie) {
908 memcpy(pos, ie, ie_len);
909 pos += ie_len;
910 }
911
912 return pos - buffer;
913}
914
46900298 915void ieee80211_send_probe_req(struct ieee80211_sub_if_data *sdata, u8 *dst,
de95a54b
JB
916 const u8 *ssid, size_t ssid_len,
917 const u8 *ie, size_t ie_len)
46900298
JB
918{
919 struct ieee80211_local *local = sdata->local;
46900298
JB
920 struct sk_buff *skb;
921 struct ieee80211_mgmt *mgmt;
de95a54b 922 u8 *pos;
46900298
JB
923
924 skb = dev_alloc_skb(local->hw.extra_tx_headroom + sizeof(*mgmt) + 200 +
65fc73ac 925 ie_len);
46900298
JB
926 if (!skb) {
927 printk(KERN_DEBUG "%s: failed to allocate buffer for probe "
928 "request\n", sdata->dev->name);
929 return;
930 }
931 skb_reserve(skb, local->hw.extra_tx_headroom);
932
933 mgmt = (struct ieee80211_mgmt *) skb_put(skb, 24);
934 memset(mgmt, 0, 24);
935 mgmt->frame_control = cpu_to_le16(IEEE80211_FTYPE_MGMT |
936 IEEE80211_STYPE_PROBE_REQ);
937 memcpy(mgmt->sa, sdata->dev->dev_addr, ETH_ALEN);
938 if (dst) {
939 memcpy(mgmt->da, dst, ETH_ALEN);
940 memcpy(mgmt->bssid, dst, ETH_ALEN);
941 } else {
942 memset(mgmt->da, 0xff, ETH_ALEN);
943 memset(mgmt->bssid, 0xff, ETH_ALEN);
944 }
945 pos = skb_put(skb, 2 + ssid_len);
946 *pos++ = WLAN_EID_SSID;
947 *pos++ = ssid_len;
948 memcpy(pos, ssid, ssid_len);
de95a54b 949 pos += ssid_len;
46900298 950
de95a54b 951 skb_put(skb, ieee80211_build_preq_ies(local, pos, ie, ie_len));
46900298
JB
952
953 ieee80211_tx_skb(sdata, skb, 0);
954}
955
956u32 ieee80211_sta_get_rates(struct ieee80211_local *local,
957 struct ieee802_11_elems *elems,
958 enum ieee80211_band band)
959{
960 struct ieee80211_supported_band *sband;
961 struct ieee80211_rate *bitrates;
962 size_t num_rates;
963 u32 supp_rates;
964 int i, j;
965 sband = local->hw.wiphy->bands[band];
966
967 if (!sband) {
968 WARN_ON(1);
969 sband = local->hw.wiphy->bands[local->hw.conf.channel->band];
970 }
971
972 bitrates = sband->bitrates;
973 num_rates = sband->n_bitrates;
974 supp_rates = 0;
975 for (i = 0; i < elems->supp_rates_len +
976 elems->ext_supp_rates_len; i++) {
977 u8 rate = 0;
978 int own_rate;
979 if (i < elems->supp_rates_len)
980 rate = elems->supp_rates[i];
981 else if (elems->ext_supp_rates)
982 rate = elems->ext_supp_rates
983 [i - elems->supp_rates_len];
984 own_rate = 5 * (rate & 0x7f);
985 for (j = 0; j < num_rates; j++)
986 if (bitrates[j].bitrate == own_rate)
987 supp_rates |= BIT(j);
988 }
989 return supp_rates;
990}
f2753ddb
JB
991
992int ieee80211_reconfig(struct ieee80211_local *local)
993{
994 struct ieee80211_hw *hw = &local->hw;
995 struct ieee80211_sub_if_data *sdata;
996 struct ieee80211_if_init_conf conf;
997 struct sta_info *sta;
998 unsigned long flags;
999 int res;
1000
1001 /* restart hardware */
1002 if (local->open_count) {
1003 res = local->ops->start(hw);
1004
1005 ieee80211_led_radio(local, hw->conf.radio_enabled);
1006 }
1007
1008 /* add interfaces */
1009 list_for_each_entry(sdata, &local->interfaces, list) {
1010 if (sdata->vif.type != NL80211_IFTYPE_AP_VLAN &&
1011 sdata->vif.type != NL80211_IFTYPE_MONITOR &&
1012 netif_running(sdata->dev)) {
1013 conf.vif = &sdata->vif;
1014 conf.type = sdata->vif.type;
1015 conf.mac_addr = sdata->dev->dev_addr;
1016 res = local->ops->add_interface(hw, &conf);
1017 }
1018 }
1019
1020 /* add STAs back */
1021 if (local->ops->sta_notify) {
1022 spin_lock_irqsave(&local->sta_lock, flags);
1023 list_for_each_entry(sta, &local->sta_list, list) {
1024 if (sdata->vif.type == NL80211_IFTYPE_AP_VLAN)
1025 sdata = container_of(sdata->bss,
1026 struct ieee80211_sub_if_data,
1027 u.ap);
1028
1029 local->ops->sta_notify(hw, &sdata->vif,
1030 STA_NOTIFY_ADD, &sta->sta);
1031 }
1032 spin_unlock_irqrestore(&local->sta_lock, flags);
1033 }
1034
1035 /* Clear Suspend state so that ADDBA requests can be processed */
1036
1037 rcu_read_lock();
1038
1039 if (hw->flags & IEEE80211_HW_AMPDU_AGGREGATION) {
1040 list_for_each_entry_rcu(sta, &local->sta_list, list) {
1041 clear_sta_flags(sta, WLAN_STA_SUSPEND);
1042 }
1043 }
1044
1045 rcu_read_unlock();
1046
1047 /* setup RTS threshold */
1048 if (local->ops->set_rts_threshold)
b9a5f8ca 1049 local->ops->set_rts_threshold(hw, hw->wiphy->rts_threshold);
f2753ddb
JB
1050
1051 /* reconfigure hardware */
1052 ieee80211_hw_config(local, ~0);
1053
1054 netif_addr_lock_bh(local->mdev);
1055 ieee80211_configure_filter(local);
1056 netif_addr_unlock_bh(local->mdev);
1057
1058 /* Finally also reconfigure all the BSS information */
1059 list_for_each_entry(sdata, &local->interfaces, list) {
1060 u32 changed = ~0;
1061 if (!netif_running(sdata->dev))
1062 continue;
1063 switch (sdata->vif.type) {
1064 case NL80211_IFTYPE_STATION:
1065 /* disable beacon change bits */
1066 changed &= ~IEEE80211_IFCC_BEACON;
1067 /* fall through */
1068 case NL80211_IFTYPE_ADHOC:
1069 case NL80211_IFTYPE_AP:
1070 case NL80211_IFTYPE_MESH_POINT:
1071 /*
1072 * Driver's config_interface can fail if rfkill is
1073 * enabled. Accommodate this return code.
1074 * FIXME: When mac80211 has knowledge of rfkill
1075 * state the code below can change back to:
1076 * WARN(ieee80211_if_config(sdata, changed));
1077 * ieee80211_bss_info_change_notify(sdata, ~0);
1078 */
1079 if (ieee80211_if_config(sdata, changed))
1080 printk(KERN_DEBUG "%s: failed to configure interface during resume\n",
1081 sdata->dev->name);
1082 else
1083 ieee80211_bss_info_change_notify(sdata, ~0);
1084 break;
1085 case NL80211_IFTYPE_WDS:
1086 break;
1087 case NL80211_IFTYPE_AP_VLAN:
1088 case NL80211_IFTYPE_MONITOR:
1089 /* ignore virtual */
1090 break;
1091 case NL80211_IFTYPE_UNSPECIFIED:
1092 case __NL80211_IFTYPE_AFTER_LAST:
1093 WARN_ON(1);
1094 break;
1095 }
1096 }
1097
1098 /* add back keys */
1099 list_for_each_entry(sdata, &local->interfaces, list)
1100 if (netif_running(sdata->dev))
1101 ieee80211_enable_keys(sdata);
1102
1103 ieee80211_wake_queues_by_reason(hw,
1104 IEEE80211_QUEUE_STOP_REASON_SUSPEND);
1105
1106 return 0;
1107}