Merge tag 'i2c-for-6.4-rc1-part2' of git://git.kernel.org/pub/scm/linux/kernel/git...
[linux-2.6-block.git] / include / linux / pci.h
CommitLineData
b2441318 1/* SPDX-License-Identifier: GPL-2.0 */
1da177e4
LT
2/*
3 * pci.h
4 *
5 * PCI defines and function prototypes
6 * Copyright 1994, Drew Eckhardt
7 * Copyright 1997--1999 Martin Mares <mj@ucw.cz>
8 *
7ce2e76a
KW
9 * PCI Express ASPM defines and function prototypes
10 * Copyright (c) 2007 Intel Corp.
11 * Zhang Yanmin (yanmin.zhang@intel.com)
12 * Shaohua Li (shaohua.li@intel.com)
13 *
1da177e4
LT
14 * For more information, please consult the following manuals (look at
15 * http://www.pcisig.com/ for how to get them):
16 *
17 * PCI BIOS Specification
18 * PCI Local Bus Specification
19 * PCI to PCI Bridge Specification
7ce2e76a 20 * PCI Express Specification
1da177e4
LT
21 * PCI System Design Guide
22 */
1da177e4
LT
23#ifndef LINUX_PCI_H
24#define LINUX_PCI_H
25
1da177e4 26
778382e0
DW
27#include <linux/mod_devicetable.h>
28
1da177e4 29#include <linux/types.h>
98db6f19 30#include <linux/init.h>
1da177e4
LT
31#include <linux/ioport.h>
32#include <linux/list.h>
4a7fb636 33#include <linux/compiler.h>
1da177e4 34#include <linux/errno.h>
f46753c5 35#include <linux/kobject.h>
60063497 36#include <linux/atomic.h>
1da177e4 37#include <linux/device.h>
704e8953 38#include <linux/interrupt.h>
1388cc96 39#include <linux/io.h>
14d76b68 40#include <linux/resource_ext.h>
34026364 41#include <linux/msi_api.h>
607ca46e 42#include <uapi/linux/pci.h>
1da177e4 43
7e7a43c3
AB
44#include <linux/pci_ids.h>
45
d6e055e8
HK
46#define PCI_STATUS_ERROR_BITS (PCI_STATUS_DETECTED_PARITY | \
47 PCI_STATUS_SIG_SYSTEM_ERROR | \
48 PCI_STATUS_REC_MASTER_ABORT | \
49 PCI_STATUS_REC_TARGET_ABORT | \
50 PCI_STATUS_SIG_TARGET_ABORT | \
51 PCI_STATUS_PARITY)
52
e20afa06 53/* Number of reset methods used in pci_reset_fn_methods array in pci.c */
6937b7dd 54#define PCI_NUM_RESET_METHODS 7
e20afa06 55
9bdc81ce
AN
56#define PCI_RESET_PROBE true
57#define PCI_RESET_DO_RESET false
58
85467136
SK
59/*
60 * The PCI interface treats multi-function devices as independent
61 * devices. The slot/function address of each device is encoded
62 * in a single byte as follows:
63 *
64 * 7:3 = slot
65 * 2:0 = function
f7625980
BH
66 *
67 * PCI_DEVFN(), PCI_SLOT(), and PCI_FUNC() are defined in uapi/linux/pci.h.
85467136 68 * In the interest of not exposing interfaces to user-space unnecessarily,
f7625980 69 * the following kernel-only defines are being added here.
85467136 70 */
0aa0f5d1 71#define PCI_DEVID(bus, devfn) ((((u16)(bus)) << 8) | (devfn))
85467136
SK
72/* return bus from PCI devid = ((u16)bus_number) << 8) | devfn */
73#define PCI_BUS_NUM(x) (((x) >> 8) & 0xff)
74
f46753c5
AC
75/* pci_slot represents a physical slot */
76struct pci_slot {
0aa0f5d1
BH
77 struct pci_bus *bus; /* Bus this slot is on */
78 struct list_head list; /* Node in list of slots */
79 struct hotplug_slot *hotplug; /* Hotplug info (move here) */
80 unsigned char number; /* PCI_SLOT(pci_dev->devfn) */
81 struct kobject kobj;
f46753c5
AC
82};
83
0ad772ec
AC
84static inline const char *pci_slot_name(const struct pci_slot *slot)
85{
86 return kobject_name(&slot->kobj);
87}
88
1da177e4
LT
89/* File state for mmap()s on /proc/bus/pci/X/Y */
90enum pci_mmap_state {
91 pci_mmap_io,
92 pci_mmap_mem
93};
94
0aa0f5d1 95/* For PCI devices, the region numbers are assigned this way: */
fde09c6d
YZ
96enum {
97 /* #0-5: standard PCI resources */
98 PCI_STD_RESOURCES,
c9c13ba4 99 PCI_STD_RESOURCE_END = PCI_STD_RESOURCES + PCI_STD_NUM_BARS - 1,
fde09c6d
YZ
100
101 /* #6: expansion ROM resource */
102 PCI_ROM_RESOURCE,
103
0aa0f5d1 104 /* Device-specific resources */
d1b054da
YZ
105#ifdef CONFIG_PCI_IOV
106 PCI_IOV_RESOURCES,
107 PCI_IOV_RESOURCE_END = PCI_IOV_RESOURCES + PCI_SRIOV_NUM_BARS - 1,
108#endif
109
6e0688db
KW
110/* PCI-to-PCI (P2P) bridge windows */
111#define PCI_BRIDGE_IO_WINDOW (PCI_BRIDGE_RESOURCES + 0)
112#define PCI_BRIDGE_MEM_WINDOW (PCI_BRIDGE_RESOURCES + 1)
113#define PCI_BRIDGE_PREF_MEM_WINDOW (PCI_BRIDGE_RESOURCES + 2)
114
115/* CardBus bridge windows */
116#define PCI_CB_BRIDGE_IO_0_WINDOW (PCI_BRIDGE_RESOURCES + 0)
117#define PCI_CB_BRIDGE_IO_1_WINDOW (PCI_BRIDGE_RESOURCES + 1)
118#define PCI_CB_BRIDGE_MEM_0_WINDOW (PCI_BRIDGE_RESOURCES + 2)
119#define PCI_CB_BRIDGE_MEM_1_WINDOW (PCI_BRIDGE_RESOURCES + 3)
120
121/* Total number of bridge resources for P2P and CardBus */
fde09c6d
YZ
122#define PCI_BRIDGE_RESOURCE_NUM 4
123
6e0688db 124 /* Resources assigned to buses behind the bridge */
fde09c6d
YZ
125 PCI_BRIDGE_RESOURCES,
126 PCI_BRIDGE_RESOURCE_END = PCI_BRIDGE_RESOURCES +
127 PCI_BRIDGE_RESOURCE_NUM - 1,
128
0aa0f5d1 129 /* Total resources associated with a PCI device */
fde09c6d
YZ
130 PCI_NUM_RESOURCES,
131
0aa0f5d1 132 /* Preserve this for compatibility */
cda57bf9 133 DEVICE_COUNT_RESOURCE = PCI_NUM_RESOURCES,
fde09c6d 134};
1da177e4 135
b352baf1
PB
136/**
137 * enum pci_interrupt_pin - PCI INTx interrupt values
138 * @PCI_INTERRUPT_UNKNOWN: Unknown or unassigned interrupt
139 * @PCI_INTERRUPT_INTA: PCI INTA pin
140 * @PCI_INTERRUPT_INTB: PCI INTB pin
141 * @PCI_INTERRUPT_INTC: PCI INTC pin
142 * @PCI_INTERRUPT_INTD: PCI INTD pin
143 *
144 * Corresponds to values for legacy PCI INTx interrupts, as can be found in the
145 * PCI_INTERRUPT_PIN register.
146 */
147enum pci_interrupt_pin {
148 PCI_INTERRUPT_UNKNOWN,
149 PCI_INTERRUPT_INTA,
150 PCI_INTERRUPT_INTB,
151 PCI_INTERRUPT_INTC,
152 PCI_INTERRUPT_INTD,
153};
154
155/* The number of legacy PCI INTx interrupts */
156#define PCI_NUM_INTX 4
157
57bdeef4
NN
158/*
159 * Reading from a device that doesn't respond typically returns ~0. A
160 * successful read from a device may also return ~0, so you need additional
161 * information to reliably identify errors.
162 */
163#define PCI_ERROR_RESPONSE (~0ULL)
164#define PCI_SET_ERROR_RESPONSE(val) (*(val) = ((typeof(*(val))) PCI_ERROR_RESPONSE))
165#define PCI_POSSIBLE_ERROR(val) ((val) == ((typeof(val)) PCI_ERROR_RESPONSE))
166
224abb67
BH
167/*
168 * pci_power_t values must match the bits in the Capabilities PME_Support
169 * and Control/Status PowerState fields in the Power Management capability.
170 */
1da177e4
LT
171typedef int __bitwise pci_power_t;
172
4352dfd5
GKH
173#define PCI_D0 ((pci_power_t __force) 0)
174#define PCI_D1 ((pci_power_t __force) 1)
175#define PCI_D2 ((pci_power_t __force) 2)
1da177e4
LT
176#define PCI_D3hot ((pci_power_t __force) 3)
177#define PCI_D3cold ((pci_power_t __force) 4)
3fe9d19f 178#define PCI_UNKNOWN ((pci_power_t __force) 5)
438510f6 179#define PCI_POWER_ERROR ((pci_power_t __force) -1)
1da177e4 180
00240c38
AS
181/* Remember to update this when the list above changes! */
182extern const char *pci_power_names[];
183
184static inline const char *pci_power_name(pci_power_t state)
185{
9661e783 186 return pci_power_names[1 + (__force int) state];
00240c38
AS
187}
188
0aa0f5d1 189/**
229b4e07
CD
190 * typedef pci_channel_state_t
191 *
0aa0f5d1
BH
192 * The pci_channel state describes connectivity between the CPU and
193 * the PCI device. If some PCI bus between here and the PCI device
194 * has crashed or locked up, this info is reflected here.
392a1ce7 195 */
196typedef unsigned int __bitwise pci_channel_state_t;
197
16d79cd4 198enum {
392a1ce7 199 /* I/O channel is in normal state */
200 pci_channel_io_normal = (__force pci_channel_state_t) 1,
201
202 /* I/O to channel is blocked */
203 pci_channel_io_frozen = (__force pci_channel_state_t) 2,
204
205 /* PCI card is dead */
206 pci_channel_io_perm_failure = (__force pci_channel_state_t) 3,
207};
208
f7bdd12d
BK
209typedef unsigned int __bitwise pcie_reset_state_t;
210
211enum pcie_reset_state {
212 /* Reset is NOT asserted (Use to deassert reset) */
213 pcie_deassert_reset = (__force pcie_reset_state_t) 1,
214
f7625980 215 /* Use #PERST to reset PCIe device */
f7bdd12d
BK
216 pcie_warm_reset = (__force pcie_reset_state_t) 2,
217
f7625980 218 /* Use PCIe Hot Reset to reset device */
f7bdd12d
BK
219 pcie_hot_reset = (__force pcie_reset_state_t) 3
220};
221
ba698ad4
DM
222typedef unsigned short __bitwise pci_dev_flags_t;
223enum pci_dev_flags {
0aa0f5d1 224 /* INTX_DISABLE in PCI_COMMAND register disables MSI too */
6b121592 225 PCI_DEV_FLAGS_MSI_INTX_DISABLE_BUG = (__force pci_dev_flags_t) (1 << 0),
979b1791 226 /* Device configuration is irrevocably lost if disabled into D3 */
6b121592 227 PCI_DEV_FLAGS_NO_D3 = (__force pci_dev_flags_t) (1 << 1),
6777829c 228 /* Provide indication device is assigned by a Virtual Machine Manager */
6b121592 229 PCI_DEV_FLAGS_ASSIGNED = (__force pci_dev_flags_t) (1 << 2),
5757a769 230 /* Flag for quirk use to store if quirk-specific ACS is enabled */
6b121592 231 PCI_DEV_FLAGS_ACS_ENABLED_QUIRK = (__force pci_dev_flags_t) (1 << 3),
c8fe16e3
AW
232 /* Use a PCIe-to-PCI bridge alias even if !pci_is_pcie */
233 PCI_DEV_FLAG_PCIE_BRIDGE_ALIAS = (__force pci_dev_flags_t) (1 << 5),
f331a859
AW
234 /* Do not use bus resets for device */
235 PCI_DEV_FLAGS_NO_BUS_RESET = (__force pci_dev_flags_t) (1 << 6),
51e53738
AW
236 /* Do not use PM reset even if device advertises NoSoftRst- */
237 PCI_DEV_FLAGS_NO_PM_RESET = (__force pci_dev_flags_t) (1 << 7),
932c435c
MR
238 /* Get VPD from function 0 VPD */
239 PCI_DEV_FLAGS_VPD_REF_F0 = (__force pci_dev_flags_t) (1 << 8),
0aa0f5d1 240 /* A non-root bridge where translation occurs, stop alias search here */
ffff8858 241 PCI_DEV_FLAGS_BRIDGE_XLATE_ROOT = (__force pci_dev_flags_t) (1 << 9),
f65fd1aa
SN
242 /* Do not use FLR even if device advertises PCI_AF_CAP */
243 PCI_DEV_FLAGS_NO_FLR_RESET = (__force pci_dev_flags_t) (1 << 10),
a99b646a 244 /* Don't use Relaxed Ordering for TLPs directed at this device */
c2eac4d3 245 PCI_DEV_FLAGS_NO_RELAXED_ORDERING = (__force pci_dev_flags_t) (1 << 11),
2226667a
MZ
246 /* Device does honor MSI masking despite saying otherwise */
247 PCI_DEV_FLAGS_HAS_MSI_MASKING = (__force pci_dev_flags_t) (1 << 12),
ba698ad4
DM
248};
249
e1d3a908
SA
250enum pci_irq_reroute_variant {
251 INTEL_IRQ_REROUTE_VARIANT = 1,
252 MAX_IRQ_REROUTE_VARIANTS = 3
253};
254
6e325a62
MT
255typedef unsigned short __bitwise pci_bus_flags_t;
256enum pci_bus_flags {
032c3d86
JD
257 PCI_BUS_FLAGS_NO_MSI = (__force pci_bus_flags_t) 1,
258 PCI_BUS_FLAGS_NO_MMRBC = (__force pci_bus_flags_t) 2,
259 PCI_BUS_FLAGS_NO_AERSID = (__force pci_bus_flags_t) 4,
17e8f0d4 260 PCI_BUS_FLAGS_NO_EXTCFG = (__force pci_bus_flags_t) 8,
6e325a62
MT
261};
262
0aa0f5d1 263/* Values from Link Status register, PCIe r3.1, sec 7.8.8 */
59da381e
JK
264enum pcie_link_width {
265 PCIE_LNK_WIDTH_RESRV = 0x00,
266 PCIE_LNK_X1 = 0x01,
267 PCIE_LNK_X2 = 0x02,
268 PCIE_LNK_X4 = 0x04,
269 PCIE_LNK_X8 = 0x08,
0aa0f5d1 270 PCIE_LNK_X12 = 0x0c,
59da381e
JK
271 PCIE_LNK_X16 = 0x10,
272 PCIE_LNK_X32 = 0x20,
0aa0f5d1 273 PCIE_LNK_WIDTH_UNKNOWN = 0xff,
59da381e
JK
274};
275
e56faff5 276/* See matching string table in pci_speed_string() */
536c8cb4
MW
277enum pci_bus_speed {
278 PCI_SPEED_33MHz = 0x00,
279 PCI_SPEED_66MHz = 0x01,
280 PCI_SPEED_66MHz_PCIX = 0x02,
281 PCI_SPEED_100MHz_PCIX = 0x03,
282 PCI_SPEED_133MHz_PCIX = 0x04,
283 PCI_SPEED_66MHz_PCIX_ECC = 0x05,
284 PCI_SPEED_100MHz_PCIX_ECC = 0x06,
285 PCI_SPEED_133MHz_PCIX_ECC = 0x07,
286 PCI_SPEED_66MHz_PCIX_266 = 0x09,
287 PCI_SPEED_100MHz_PCIX_266 = 0x0a,
288 PCI_SPEED_133MHz_PCIX_266 = 0x0b,
45b4cdd5
MW
289 AGP_UNKNOWN = 0x0c,
290 AGP_1X = 0x0d,
291 AGP_2X = 0x0e,
292 AGP_4X = 0x0f,
293 AGP_8X = 0x10,
536c8cb4
MW
294 PCI_SPEED_66MHz_PCIX_533 = 0x11,
295 PCI_SPEED_100MHz_PCIX_533 = 0x12,
296 PCI_SPEED_133MHz_PCIX_533 = 0x13,
297 PCIE_SPEED_2_5GT = 0x14,
298 PCIE_SPEED_5_0GT = 0x15,
9dfd97fe 299 PCIE_SPEED_8_0GT = 0x16,
1acfb9b7 300 PCIE_SPEED_16_0GT = 0x17,
de76cda2 301 PCIE_SPEED_32_0GT = 0x18,
34191749 302 PCIE_SPEED_64_0GT = 0x19,
536c8cb4
MW
303 PCI_SPEED_UNKNOWN = 0xff,
304};
305
576c7218
AD
306enum pci_bus_speed pcie_get_speed_cap(struct pci_dev *dev);
307enum pcie_link_width pcie_get_width_cap(struct pci_dev *dev);
308
fd00faa3
HK
309struct pci_vpd {
310 struct mutex lock;
311 unsigned int len;
312 u8 cap;
24a4742f
AW
313};
314
402723ad 315struct irq_affinity;
7d715a6c 316struct pcie_link_state;
d1b054da 317struct pci_sriov;
52916982 318struct pci_p2pdma;
90655631 319struct rcec_ea;
ee69439c 320
0aa0f5d1 321/* The pci_dev structure describes PCI devices */
1da177e4 322struct pci_dev {
0aa0f5d1
BH
323 struct list_head bus_list; /* Node in per-bus list */
324 struct pci_bus *bus; /* Bus this device is on */
325 struct pci_bus *subordinate; /* Bus this device bridges to */
1da177e4 326
0aa0f5d1
BH
327 void *sysdata; /* Hook for sys-specific extension */
328 struct proc_dir_entry *procent; /* Device entry in /proc/bus/pci */
f46753c5 329 struct pci_slot *slot; /* Physical slot this device is in */
1da177e4 330
0aa0f5d1 331 unsigned int devfn; /* Encoded device & function index */
1da177e4
LT
332 unsigned short vendor;
333 unsigned short device;
334 unsigned short subsystem_vendor;
335 unsigned short subsystem_device;
336 unsigned int class; /* 3 bytes: (base,sub,prog-if) */
b8a3a521 337 u8 revision; /* PCI revision, low byte of class word */
1da177e4 338 u8 hdr_type; /* PCI header type (`multi' flag masked out) */
66b80809
KB
339#ifdef CONFIG_PCIEAER
340 u16 aer_cap; /* AER capability offset */
db89ccbe 341 struct aer_stats *aer_stats; /* AER stats for this device */
90655631
SK
342#endif
343#ifdef CONFIG_PCIEPORTBUS
344 struct rcec_ea *rcec_ea; /* RCEC cached endpoint association */
507b460f 345 struct pci_dev *rcec; /* Associated RCEC device */
66b80809 346#endif
69139244 347 u32 devcap; /* PCIe Device Capabilities */
f7625980 348 u8 pcie_cap; /* PCIe capability offset */
e375b561
GS
349 u8 msi_cap; /* MSI capability offset */
350 u8 msix_cap; /* MSI-X capability offset */
f7625980 351 u8 pcie_mpss:3; /* PCIe Max Payload Size Supported */
0aa0f5d1
BH
352 u8 rom_base_reg; /* Config register controlling ROM */
353 u8 pin; /* Interrupt pin this device uses */
354 u16 pcie_flags_reg; /* Cached PCIe Capabilities Register */
355 unsigned long *dma_alias_mask;/* Mask of enabled devfn aliases */
1da177e4 356
68da4e0e 357 struct pci_driver *driver; /* Driver bound to this device */
1da177e4
LT
358 u64 dma_mask; /* Mask of the bits of bus address this
359 device implements. Normally this is
360 0xffffffff. You only need to change
361 this if your device has broken DMA
362 or supports 64-bit transfers. */
363
4d57cdfa
FT
364 struct device_dma_parameters dma_parms;
365
0aa0f5d1
BH
366 pci_power_t current_state; /* Current operating state. In ACPI,
367 this is D0-D3, D0 being fully
368 functional, and D3 being off. */
d6112f8d 369 unsigned int imm_ready:1; /* Supports Immediate Readiness */
703860ed 370 u8 pm_cap; /* PM capability offset */
337001b6
RW
371 unsigned int pme_support:5; /* Bitmask of states from which PME#
372 can be generated */
379021d5 373 unsigned int pme_poll:1; /* Poll device's PME status bit */
337001b6
RW
374 unsigned int d1_support:1; /* Low power state D1 is supported */
375 unsigned int d2_support:1; /* Low power state D2 is supported */
448bd857
HY
376 unsigned int no_d1d2:1; /* D1 and D2 are forbidden */
377 unsigned int no_d3cold:1; /* D3cold is forbidden */
9d26d3a8 378 unsigned int bridge_d3:1; /* Allow D3 for bridge */
448bd857 379 unsigned int d3cold_allowed:1; /* D3cold is allowed by user */
0aa0f5d1
BH
380 unsigned int mmio_always_on:1; /* Disallow turning off io/mem
381 decoding during BAR sizing */
e80bb09d 382 unsigned int wakeup_prepared:1;
d491f2b7 383 unsigned int skip_bus_pm:1; /* Internal: Skip bus-level PM */
b440bde7 384 unsigned int ignore_hotplug:1; /* Ignore hotplug events */
576243b3
KB
385 unsigned int hotplug_user_indicators:1; /* SlotCtl indicators
386 controlled exclusively by
387 user sysfs */
4ec73791
SM
388 unsigned int clear_retrain_link:1; /* Need to clear Retrain Link
389 bit manually */
3789af9a 390 unsigned int d3hot_delay; /* D3hot->D0 transition time in ms */
448bd857 391 unsigned int d3cold_delay; /* D3cold->D0 transition time in ms */
1da177e4 392
7d715a6c 393#ifdef CONFIG_PCIEASPM
f7625980 394 struct pcie_link_state *link_state; /* ASPM link state */
c46fd358
BH
395 unsigned int ltr_path:1; /* Latency Tolerance Reporting
396 supported from root to here */
ee8b1c47 397 u16 l1ss; /* L1SS Capability pointer */
7d715a6c 398#endif
8c09e896 399 unsigned int pasid_no_tlp:1; /* PASID works without TLP Prefix */
7ce3f912 400 unsigned int eetlp_prefix_path:1; /* End-to-End TLP Prefix */
7d715a6c 401
0aa0f5d1
BH
402 pci_channel_state_t error_state; /* Current connectivity state */
403 struct device dev; /* Generic device interface */
1da177e4 404
0aa0f5d1 405 int cfg_size; /* Size of config space */
1da177e4
LT
406
407 /*
408 * Instead of touching interrupt line and base address registers
409 * directly, use the values stored here. They might be different!
410 */
411 unsigned int irq;
412 struct resource resource[DEVICE_COUNT_RESOURCE]; /* I/O and memory regions + expansion ROMs */
27829479 413 struct resource driver_exclusive_resource; /* driver exclusive resource ranges */
1da177e4 414
0aa0f5d1
BH
415 bool match_driver; /* Skip attaching driver */
416
417 unsigned int transparent:1; /* Subtractive decode bridge */
51c48b31
BH
418 unsigned int io_window:1; /* Bridge has I/O window */
419 unsigned int pref_window:1; /* Bridge has pref mem window */
420 unsigned int pref_64_window:1; /* Pref mem window is 64-bit */
0aa0f5d1
BH
421 unsigned int multifunction:1; /* Multi-function device */
422
0aa0f5d1
BH
423 unsigned int is_busmaster:1; /* Is busmaster */
424 unsigned int no_msi:1; /* May not use MSI */
f6b6aefe 425 unsigned int no_64bit_msi:1; /* May only use 32-bit MSIs */
0aa0f5d1
BH
426 unsigned int block_cfg_access:1; /* Config space access blocked */
427 unsigned int broken_parity_status:1; /* Generates false positive parity */
428 unsigned int irq_reroute_variant:2; /* Needs IRQ rerouting variant */
f7625980 429 unsigned int msi_enabled:1;
99dc804d 430 unsigned int msix_enabled:1;
0aa0f5d1
BH
431 unsigned int ari_enabled:1; /* ARI forwarding */
432 unsigned int ats_enabled:1; /* Address Translation Svc */
a4f4fa68
JPB
433 unsigned int pasid_enabled:1; /* Process Address Space ID */
434 unsigned int pri_enabled:1; /* Page Request Interface */
3f35d2cf
TG
435 unsigned int is_managed:1; /* Managed via devres */
436 unsigned int is_msi_managed:1; /* MSI release via devres installed */
0aa0f5d1 437 unsigned int needs_freset:1; /* Requires fundamental reset */
aa8c6c93 438 unsigned int state_saved:1;
d1b054da 439 unsigned int is_physfn:1;
dd7cc44d 440 unsigned int is_virtfn:1;
0aa0f5d1 441 unsigned int is_hotplug_bridge:1;
b03799b0 442 unsigned int shpc_managed:1; /* SHPC owned by shpchp */
0aa0f5d1 443 unsigned int is_thunderbolt:1; /* Thunderbolt controller */
617654aa
MW
444 /*
445 * Devices marked being untrusted are the ones that can potentially
446 * execute DMA attacks and similar. They are typically connected
447 * through external ports such as Thunderbolt but not limited to
448 * that. When an IOMMU is enabled they should be getting full
449 * mappings to make sure they cannot access arbitrary memory.
450 */
451 unsigned int untrusted:1;
99b50be9
RJ
452 /*
453 * Info from the platform, e.g., ACPI or device tree, may mark a
454 * device as "external-facing". An external-facing device is
455 * itself internal but devices downstream from it are external.
456 */
457 unsigned int external_facing:1;
0aa0f5d1
BH
458 unsigned int broken_intx_masking:1; /* INTx masking can't be used */
459 unsigned int io_window_1k:1; /* Intel bridge 1K I/O windows */
cffe0a2b 460 unsigned int irq_managed:1;
0aa0f5d1
BH
461 unsigned int non_compliant_bars:1; /* Broken BARs; ignore them */
462 unsigned int is_probed:1; /* Device probing in progress */
f0157160 463 unsigned int link_active_reporting:1;/* Device capable of reporting link active */
aff68a5a 464 unsigned int no_vf_scan:1; /* Don't scan for VFs after IOV enablement */
12856e7a 465 unsigned int no_command_memory:1; /* No PCI_COMMAND_MEMORY */
500b55b0 466 unsigned int rom_bar_overlap:1; /* ROM BAR disable broken */
ba698ad4 467 pci_dev_flags_t dev_flags;
bae94d02 468 atomic_t enable_cnt; /* pci_enable_device has been called */
4602b88d 469
0aa0f5d1 470 u32 saved_config_space[16]; /* Config space saved at suspend time */
41017f0c 471 struct hlist_head saved_cap_space;
0aa0f5d1 472 int rom_attr_enabled; /* Display of ROM attribute enabled? */
1da177e4 473 struct bin_attribute *res_attr[DEVICE_COUNT_RESOURCE]; /* sysfs file for resources */
45aec1ae 474 struct bin_attribute *res_attr_wc[DEVICE_COUNT_RESOURCE]; /* sysfs file for WC mapping of resources */
9bb04a0c 475
d22b3621
BH
476#ifdef CONFIG_HOTPLUG_PCI_PCIE
477 unsigned int broken_cmd_compl:1; /* No compl for some cmds */
478#endif
9bb04a0c 479#ifdef CONFIG_PCIE_PTM
a47126ec 480 u16 ptm_cap; /* PTM Capability */
9bb04a0c
JY
481 unsigned int ptm_root:1;
482 unsigned int ptm_enabled:1;
8b2ec318 483 u8 ptm_granularity;
9bb04a0c 484#endif
ded86d8d 485#ifdef CONFIG_PCI_MSI
85aa607e 486 void __iomem *msix_base;
cd119b09 487 raw_spinlock_t msi_lock;
ded86d8d 488#endif
fd00faa3 489 struct pci_vpd vpd;
be06c1b4
BH
490#ifdef CONFIG_PCIE_DPC
491 u16 dpc_cap;
492 unsigned int dpc_rp_extensions:1;
493 u8 dpc_rp_log_size;
494#endif
466b3ddf 495#ifdef CONFIG_PCI_ATS
dd7cc44d 496 union {
0aa0f5d1
BH
497 struct pci_sriov *sriov; /* PF: SR-IOV info */
498 struct pci_dev *physfn; /* VF: related PF */
dd7cc44d 499 };
67930995
BH
500 u16 ats_cap; /* ATS Capability offset */
501 u8 ats_stu; /* ATS Smallest Translation Unit */
4ebeb1ec
CT
502#endif
503#ifdef CONFIG_PCI_PRI
c065190b 504 u16 pri_cap; /* PRI Capability offset */
4ebeb1ec 505 u32 pri_reqs_alloc; /* Number of PRI requests allocated */
e5adf79a 506 unsigned int pasid_required:1; /* PRG Response PASID Required */
4ebeb1ec
CT
507#endif
508#ifdef CONFIG_PCI_PASID
751035b8 509 u16 pasid_cap; /* PASID Capability offset */
4ebeb1ec 510 u16 pasid_features;
52916982
LG
511#endif
512#ifdef CONFIG_PCI_P2PDMA
ae21f835 513 struct pci_p2pdma __rcu *p2pdma;
ac048403
LW
514#endif
515#ifdef CONFIG_PCI_DOE
516 struct xarray doe_mbs; /* Data Object Exchange mailboxes */
d1b054da 517#endif
52fbf5bd 518 u16 acs_cap; /* ACS Capability offset */
0aa0f5d1
BH
519 phys_addr_t rom; /* Physical address if not from BAR */
520 size_t romlen; /* Length if not from BAR */
23d99baf
KK
521 /*
522 * Driver name to force a match. Do not set directly, because core
523 * frees it. Use driver_set_override() to set or clear it.
524 */
525 const char *driver_override;
89ee9f76 526
0aa0f5d1 527 unsigned long priv_flags; /* Private flags for the PCI driver */
e20afa06
AN
528
529 /* These methods index pci_reset_fn_methods[] */
530 u8 reset_methods[PCI_NUM_RESET_METHODS]; /* In priority order */
1da177e4
LT
531};
532
dda56549
Y
533static inline struct pci_dev *pci_physfn(struct pci_dev *dev)
534{
535#ifdef CONFIG_PCI_IOV
536 if (dev->is_virtfn)
537 dev = dev->physfn;
538#endif
dda56549
Y
539 return dev;
540}
541
3c6e6ae7 542struct pci_dev *pci_alloc_dev(struct pci_bus *bus);
65891215 543
1da177e4
LT
544#define to_pci_dev(n) container_of(n, struct pci_dev, dev)
545#define for_each_pci_dev(d) while ((d = pci_get_device(PCI_ANY_ID, PCI_ANY_ID, d)) != NULL)
546
a7369f1f
LV
547static inline int pci_channel_offline(struct pci_dev *pdev)
548{
549 return (pdev->error_state != pci_channel_io_normal);
550}
551
15d82ca2
BF
552/*
553 * Currently in ACPI spec, for each PCI host bridge, PCI Segment
554 * Group number is limited to a 16-bit value, therefore (int)-1 is
555 * not a valid PCI domain number, and can be used as a sentinel
556 * value indicating ->domain_nr is not set by the driver (and
557 * CONFIG_PCI_DOMAINS_GENERIC=y archs will set it with
558 * pci_bus_find_domain_nr()).
559 */
560#define PCI_DOMAIN_NR_NOT_SET (-1)
561
5a21d70d 562struct pci_host_bridge {
0aa0f5d1
BH
563 struct device dev;
564 struct pci_bus *bus; /* Root bus */
565 struct pci_ops *ops;
07e29295 566 struct pci_ops *child_ops;
0aa0f5d1
BH
567 void *sysdata;
568 int busnr;
15d82ca2 569 int domain_nr;
14d76b68 570 struct list_head windows; /* resource_entry */
e80a91ad 571 struct list_head dma_ranges; /* dma ranges resource list */
0aa0f5d1 572 u8 (*swizzle_irq)(struct pci_dev *, u8 *); /* Platform IRQ swizzler */
3aa8a41e 573 int (*map_irq)(const struct pci_dev *, u8, u8);
4fa2649a 574 void (*release_fn)(struct pci_host_bridge *);
0aa0f5d1 575 void *release_data;
0aa0f5d1
BH
576 unsigned int ignore_reset_delay:1; /* For entire hierarchy */
577 unsigned int no_ext_tags:1; /* No Extended Tags */
8b3517f8 578 unsigned int no_inc_mrrs:1; /* No Increase MRRS */
02bfeb48 579 unsigned int native_aer:1; /* OS may use PCIe AER */
9310f0dc 580 unsigned int native_pcie_hotplug:1; /* OS may use PCIe hotplug */
1df81a6d 581 unsigned int native_shpc_hotplug:1; /* OS may use SHPC hotplug */
02bfeb48 582 unsigned int native_pme:1; /* OS may use PCIe PME */
af8bb9f8 583 unsigned int native_ltr:1; /* OS may use PCIe LTR */
ac1c8e35 584 unsigned int native_dpc:1; /* OS may use PCIe DPC */
589c3357 585 unsigned int native_cxl_error:1; /* OS may use CXL RAS/Events */
a78cf965 586 unsigned int preserve_config:1; /* Preserve FW resource setup */
2c8d5a2d 587 unsigned int size_windows:1; /* Enable root bus sizing */
94e89b14 588 unsigned int msi_domain:1; /* Bridge wants MSI domain */
a78cf965 589
7c7a0e94
GP
590 /* Resource alignment requirements */
591 resource_size_t (*align_resource)(struct pci_dev *dev,
592 const struct resource *res,
593 resource_size_t start,
594 resource_size_t size,
595 resource_size_t align);
914a1951 596 unsigned long private[] ____cacheline_aligned;
5a21d70d 597};
41017f0c 598
7b543663 599#define to_pci_host_bridge(n) container_of(n, struct pci_host_bridge, dev)
7c7a0e94 600
59094065
TR
601static inline void *pci_host_bridge_priv(struct pci_host_bridge *bridge)
602{
603 return (void *)bridge->private;
604}
605
606static inline struct pci_host_bridge *pci_host_bridge_from_priv(void *priv)
607{
608 return container_of(priv, struct pci_host_bridge, private);
609}
610
a52d1443 611struct pci_host_bridge *pci_alloc_host_bridge(size_t priv);
5c3f18cc
LP
612struct pci_host_bridge *devm_pci_alloc_host_bridge(struct device *dev,
613 size_t priv);
dff79b91 614void pci_free_host_bridge(struct pci_host_bridge *bridge);
7c7a0e94
GP
615struct pci_host_bridge *pci_find_host_bridge(struct pci_bus *bus);
616
4fa2649a 617void pci_set_host_bridge_release(struct pci_host_bridge *bridge,
0aa0f5d1
BH
618 void (*release_fn)(struct pci_host_bridge *),
619 void *release_data);
7b543663 620
6c0cc950
RW
621int pcibios_root_bridge_prepare(struct pci_host_bridge *bridge);
622
2fe2abf8
BH
623/*
624 * The first PCI_BRIDGE_RESOURCE_NUM PCI bus resources (those that correspond
625 * to P2P or CardBus bridge windows) go in a table. Additional ones (for
626 * buses below host bridges or subtractive decode bridges) go in the list.
627 * Use pci_bus_for_each_resource() to iterate through all the resources.
628 */
629
630/*
631 * PCI_SUBTRACTIVE_DECODE means the bridge forwards the window implicitly
632 * and there's no way to program the bridge with the details of the window.
633 * This does not apply to ACPI _CRS windows, even with the _DEC subtractive-
634 * decode bit set, because they are explicit and can be programmed with _SRS.
635 */
636#define PCI_SUBTRACTIVE_DECODE 0x1
637
638struct pci_bus_resource {
0aa0f5d1
BH
639 struct list_head list;
640 struct resource *res;
641 unsigned int flags;
2fe2abf8 642};
4352dfd5
GKH
643
644#define PCI_REGION_FLAG_MASK 0x0fU /* These bits of resource flags tell us the PCI region flags */
1da177e4
LT
645
646struct pci_bus {
0aa0f5d1
BH
647 struct list_head node; /* Node in list of buses */
648 struct pci_bus *parent; /* Parent bus this bridge is on */
649 struct list_head children; /* List of child buses */
650 struct list_head devices; /* List of devices on this bus */
651 struct pci_dev *self; /* Bridge device as seen by parent */
652 struct list_head slots; /* List of slots on this bus;
67546762 653 protected by pci_slot_mutex */
2fe2abf8 654 struct resource *resource[PCI_BRIDGE_RESOURCE_NUM];
0aa0f5d1
BH
655 struct list_head resources; /* Address space routed to this bus */
656 struct resource busn_res; /* Bus numbers routed to this bus */
1da177e4 657
0aa0f5d1 658 struct pci_ops *ops; /* Configuration access functions */
0aa0f5d1
BH
659 void *sysdata; /* Hook for sys-specific extension */
660 struct proc_dir_entry *procdir; /* Directory entry in /proc/bus/pci */
1da177e4 661
0aa0f5d1
BH
662 unsigned char number; /* Bus number */
663 unsigned char primary; /* Number of primary bridge */
3749c51a
MW
664 unsigned char max_bus_speed; /* enum pci_bus_speed */
665 unsigned char cur_bus_speed; /* enum pci_bus_speed */
670ba0c8
CM
666#ifdef CONFIG_PCI_DOMAINS_GENERIC
667 int domain_nr;
668#endif
1da177e4
LT
669
670 char name[48];
671
0aa0f5d1
BH
672 unsigned short bridge_ctl; /* Manage NO_ISA/FBB/et al behaviors */
673 pci_bus_flags_t bus_flags; /* Inherited by child buses */
1da177e4 674 struct device *bridge;
fd7d1ced 675 struct device dev;
0aa0f5d1
BH
676 struct bin_attribute *legacy_io; /* Legacy I/O for this bus */
677 struct bin_attribute *legacy_mem; /* Legacy mem */
cc74d96f 678 unsigned int is_added:1;
92c45b63 679 unsigned int unsafe_warn:1; /* warned about RW1C config write */
1da177e4
LT
680};
681
fd7d1ced 682#define to_pci_bus(n) container_of(n, struct pci_bus, dev)
1da177e4 683
4e544bac
HK
684static inline u16 pci_dev_id(struct pci_dev *dev)
685{
686 return PCI_DEVID(dev->bus->number, dev->devfn);
687}
688
79af72d7 689/*
f7625980 690 * Returns true if the PCI bus is root (behind host-PCI bridge),
79af72d7 691 * false otherwise
77a0dfcd
BH
692 *
693 * Some code assumes that "bus->self == NULL" means that bus is a root bus.
694 * This is incorrect because "virtual" buses added for SR-IOV (via
695 * virtfn_add_bus()) have "bus->self == NULL" but are not root buses.
79af72d7
KK
696 */
697static inline bool pci_is_root_bus(struct pci_bus *pbus)
698{
699 return !(pbus->parent);
700}
701
1c86438c
YW
702/**
703 * pci_is_bridge - check if the PCI device is a bridge
704 * @dev: PCI device
705 *
706 * Return true if the PCI device is bridge whether it has subordinate
707 * or not.
708 */
709static inline bool pci_is_bridge(struct pci_dev *dev)
710{
711 return dev->hdr_type == PCI_HEADER_TYPE_BRIDGE ||
712 dev->hdr_type == PCI_HEADER_TYPE_CARDBUS;
713}
714
24a0c654
AS
715#define for_each_pci_bridge(dev, bus) \
716 list_for_each_entry(dev, &bus->devices, bus_list) \
717 if (!pci_is_bridge(dev)) {} else
718
c6bde215
BH
719static inline struct pci_dev *pci_upstream_bridge(struct pci_dev *dev)
720{
721 dev = pci_physfn(dev);
722 if (pci_is_root_bus(dev->bus))
723 return NULL;
724
725 return dev->bus->self;
726}
727
16cf0ebc
RW
728#ifdef CONFIG_PCI_MSI
729static inline bool pci_dev_msi_enabled(struct pci_dev *pci_dev)
730{
731 return pci_dev->msi_enabled || pci_dev->msix_enabled;
732}
733#else
734static inline bool pci_dev_msi_enabled(struct pci_dev *pci_dev) { return false; }
735#endif
736
0aa0f5d1 737/* Error values that may be returned by PCI functions */
1da177e4
LT
738#define PCIBIOS_SUCCESSFUL 0x00
739#define PCIBIOS_FUNC_NOT_SUPPORTED 0x81
740#define PCIBIOS_BAD_VENDOR_ID 0x83
741#define PCIBIOS_DEVICE_NOT_FOUND 0x86
742#define PCIBIOS_BAD_REGISTER_NUMBER 0x87
743#define PCIBIOS_SET_FAILED 0x88
744#define PCIBIOS_BUFFER_TOO_SMALL 0x89
745
0aa0f5d1 746/* Translate above to generic errno for passing back through non-PCI code */
a6961651
AW
747static inline int pcibios_err_to_errno(int err)
748{
749 if (err <= PCIBIOS_SUCCESSFUL)
750 return err; /* Assume already errno */
751
752 switch (err) {
753 case PCIBIOS_FUNC_NOT_SUPPORTED:
754 return -ENOENT;
755 case PCIBIOS_BAD_VENDOR_ID:
d97ffe23 756 return -ENOTTY;
a6961651
AW
757 case PCIBIOS_DEVICE_NOT_FOUND:
758 return -ENODEV;
759 case PCIBIOS_BAD_REGISTER_NUMBER:
760 return -EFAULT;
761 case PCIBIOS_SET_FAILED:
762 return -EIO;
763 case PCIBIOS_BUFFER_TOO_SMALL:
764 return -ENOSPC;
765 }
766
d97ffe23 767 return -ERANGE;
a6961651
AW
768}
769
1da177e4
LT
770/* Low-level architecture-dependent routines */
771
772struct pci_ops {
057bd2e0
TR
773 int (*add_bus)(struct pci_bus *bus);
774 void (*remove_bus)(struct pci_bus *bus);
1f94a94f 775 void __iomem *(*map_bus)(struct pci_bus *bus, unsigned int devfn, int where);
1da177e4
LT
776 int (*read)(struct pci_bus *bus, unsigned int devfn, int where, int size, u32 *val);
777 int (*write)(struct pci_bus *bus, unsigned int devfn, int where, int size, u32 val);
778};
779
b6ce068a
MW
780/*
781 * ACPI needs to be able to access PCI config space before we've done a
782 * PCI bus scan and created pci_bus structures.
783 */
f39d5b72
BH
784int raw_pci_read(unsigned int domain, unsigned int bus, unsigned int devfn,
785 int reg, int len, u32 *val);
786int raw_pci_write(unsigned int domain, unsigned int bus, unsigned int devfn,
787 int reg, int len, u32 val);
1da177e4 788
8e639079 789#ifdef CONFIG_ARCH_DMA_ADDR_T_64BIT
3a9ad0b4
YL
790typedef u64 pci_bus_addr_t;
791#else
792typedef u32 pci_bus_addr_t;
793#endif
794
1da177e4 795struct pci_bus_region {
0aa0f5d1
BH
796 pci_bus_addr_t start;
797 pci_bus_addr_t end;
1da177e4
LT
798};
799
800struct pci_dynids {
0aa0f5d1
BH
801 spinlock_t lock; /* Protects list, index */
802 struct list_head list; /* For IDs added at runtime */
1da177e4
LT
803};
804
f7625980
BH
805
806/*
807 * PCI Error Recovery System (PCI-ERS). If a PCI device driver provides
808 * a set of callbacks in struct pci_error_handlers, that device driver
809 * will be notified of PCI bus errors, and will be driven to recovery
810 * when an error occurs.
392a1ce7 811 */
812
813typedef unsigned int __bitwise pci_ers_result_t;
814
815enum pci_ers_result {
0aa0f5d1 816 /* No result/none/not supported in device driver */
392a1ce7 817 PCI_ERS_RESULT_NONE = (__force pci_ers_result_t) 1,
818
819 /* Device driver can recover without slot reset */
820 PCI_ERS_RESULT_CAN_RECOVER = (__force pci_ers_result_t) 2,
821
0aa0f5d1 822 /* Device driver wants slot to be reset */
392a1ce7 823 PCI_ERS_RESULT_NEED_RESET = (__force pci_ers_result_t) 3,
824
825 /* Device has completely failed, is unrecoverable */
826 PCI_ERS_RESULT_DISCONNECT = (__force pci_ers_result_t) 4,
827
828 /* Device driver is fully recovered and operational */
829 PCI_ERS_RESULT_RECOVERED = (__force pci_ers_result_t) 5,
918b4053
VMP
830
831 /* No AER capabilities registered for the driver */
832 PCI_ERS_RESULT_NO_AER_DRIVER = (__force pci_ers_result_t) 6,
392a1ce7 833};
834
835/* PCI bus error event callbacks */
05cca6e5 836struct pci_error_handlers {
392a1ce7 837 /* PCI bus error detected on this device */
838 pci_ers_result_t (*error_detected)(struct pci_dev *dev,
16d79cd4 839 pci_channel_state_t error);
392a1ce7 840
841 /* MMIO has been re-enabled, but not DMA */
842 pci_ers_result_t (*mmio_enabled)(struct pci_dev *dev);
843
392a1ce7 844 /* PCI slot has been reset */
845 pci_ers_result_t (*slot_reset)(struct pci_dev *dev);
846
3ebe7f9f 847 /* PCI function reset prepare or completed */
775755ed
CH
848 void (*reset_prepare)(struct pci_dev *dev);
849 void (*reset_done)(struct pci_dev *dev);
3ebe7f9f 850
392a1ce7 851 /* Device driver may resume normal operations */
852 void (*resume)(struct pci_dev *dev);
361187e0
DJ
853
854 /* Allow device driver to record more details of a correctable error */
855 void (*cor_error_detected)(struct pci_dev *dev);
392a1ce7 856};
857
392a1ce7 858
1da177e4 859struct module;
229b4e07
CD
860
861/**
862 * struct pci_driver - PCI driver structure
863 * @node: List of driver structures.
864 * @name: Driver name.
865 * @id_table: Pointer to table of device IDs the driver is
866 * interested in. Most drivers should export this
867 * table using MODULE_DEVICE_TABLE(pci,...).
868 * @probe: This probing function gets called (during execution
869 * of pci_register_driver() for already existing
870 * devices or later if a new device gets inserted) for
871 * all PCI devices which match the ID table and are not
872 * "owned" by the other drivers yet. This function gets
873 * passed a "struct pci_dev \*" for each device whose
874 * entry in the ID table matches the device. The probe
875 * function returns zero when the driver chooses to
876 * take "ownership" of the device or an error code
877 * (negative number) otherwise.
878 * The probe function always gets called from process
879 * context, so it can sleep.
880 * @remove: The remove() function gets called whenever a device
881 * being handled by this driver is removed (either during
882 * deregistration of the driver or when it's manually
883 * pulled out of a hot-pluggable slot).
884 * The remove function always gets called from process
885 * context, so it can sleep.
886 * @suspend: Put device into low power state.
229b4e07 887 * @resume: Wake device from low power state.
151f4e2b 888 * (Please see Documentation/power/pci.rst for descriptions
229b4e07
CD
889 * of PCI Power Management and the related functions.)
890 * @shutdown: Hook into reboot_notifier_list (kernel/sys.c).
891 * Intended to stop any idling DMA operations.
892 * Useful for enabling wake-on-lan (NIC) or changing
893 * the power state of a device before reboot.
894 * e.g. drivers/net/e100.c.
895 * @sriov_configure: Optional driver callback to allow configuration of
896 * number of VFs to enable via sysfs "sriov_numvfs" file.
c3d5c2d9
LR
897 * @sriov_set_msix_vec_count: PF Driver callback to change number of MSI-X
898 * vectors on a VF. Triggered via sysfs "sriov_vf_msix_count".
899 * This will change MSI-X Table Size in the VF Message Control
900 * registers.
901 * @sriov_get_vf_total_msix: PF driver callback to get the total number of
902 * MSI-X vectors available for distribution to the VFs.
229b4e07
CD
903 * @err_handler: See Documentation/PCI/pci-error-recovery.rst
904 * @groups: Sysfs attribute groups.
ded13b9c
AG
905 * @dev_groups: Attributes attached to the device that will be
906 * created once it is bound to the driver.
229b4e07
CD
907 * @driver: Driver model structure.
908 * @dynids: List of dynamically added device IDs.
512881ea
LB
909 * @driver_managed_dma: Device driver doesn't use kernel DMA API for DMA.
910 * For most device drivers, no need to care about this flag
911 * as long as all DMAs are handled through the kernel DMA API.
912 * For some special ones, for example VFIO drivers, they know
913 * how to manage the DMA themselves and set this flag so that
914 * the IOMMU layer will allow them to setup and manage their
915 * own I/O address space.
229b4e07 916 */
1da177e4 917struct pci_driver {
0aa0f5d1
BH
918 struct list_head node;
919 const char *name;
920 const struct pci_device_id *id_table; /* Must be non-NULL for probe to be called */
921 int (*probe)(struct pci_dev *dev, const struct pci_device_id *id); /* New device inserted */
922 void (*remove)(struct pci_dev *dev); /* Device removed (NULL if not a hot-plug capable driver) */
923 int (*suspend)(struct pci_dev *dev, pm_message_t state); /* Device suspended */
7cb30264
BY
924 int (*resume)(struct pci_dev *dev); /* Device woken up */
925 void (*shutdown)(struct pci_dev *dev);
926 int (*sriov_configure)(struct pci_dev *dev, int num_vfs); /* On PF */
c3d5c2d9
LR
927 int (*sriov_set_msix_vec_count)(struct pci_dev *vf, int msix_vec_count); /* On PF */
928 u32 (*sriov_get_vf_total_msix)(struct pci_dev *pf);
49453028 929 const struct pci_error_handlers *err_handler;
92d50fc1 930 const struct attribute_group **groups;
ded13b9c 931 const struct attribute_group **dev_groups;
1da177e4 932 struct device_driver driver;
0aa0f5d1 933 struct pci_dynids dynids;
512881ea 934 bool driver_managed_dma;
1da177e4
LT
935};
936
8e9028b3
BH
937static inline struct pci_driver *to_pci_driver(struct device_driver *drv)
938{
939 return drv ? container_of(drv, struct pci_driver, driver) : NULL;
940}
1da177e4
LT
941
942/**
0aa0f5d1 943 * PCI_DEVICE - macro used to describe a specific PCI device
1da177e4
LT
944 * @vend: the 16 bit PCI Vendor ID
945 * @dev: the 16 bit PCI Device ID
946 *
947 * This macro is used to create a struct pci_device_id that matches a
948 * specific device. The subvendor and subdevice fields will be set to
949 * PCI_ANY_ID.
950 */
951#define PCI_DEVICE(vend,dev) \
952 .vendor = (vend), .device = (dev), \
953 .subvendor = PCI_ANY_ID, .subdevice = PCI_ANY_ID
954
343b7258
MG
955/**
956 * PCI_DEVICE_DRIVER_OVERRIDE - macro used to describe a PCI device with
957 * override_only flags.
958 * @vend: the 16 bit PCI Vendor ID
959 * @dev: the 16 bit PCI Device ID
960 * @driver_override: the 32 bit PCI Device override_only
961 *
962 * This macro is used to create a struct pci_device_id that matches only a
963 * driver_override device. The subvendor and subdevice fields will be set to
964 * PCI_ANY_ID.
965 */
966#define PCI_DEVICE_DRIVER_OVERRIDE(vend, dev, driver_override) \
967 .vendor = (vend), .device = (dev), .subvendor = PCI_ANY_ID, \
968 .subdevice = PCI_ANY_ID, .override_only = (driver_override)
969
cc6711b0
MG
970/**
971 * PCI_DRIVER_OVERRIDE_DEVICE_VFIO - macro used to describe a VFIO
972 * "driver_override" PCI device.
973 * @vend: the 16 bit PCI Vendor ID
974 * @dev: the 16 bit PCI Device ID
975 *
976 * This macro is used to create a struct pci_device_id that matches a
977 * specific device. The subvendor and subdevice fields will be set to
978 * PCI_ANY_ID and the driver_override will be set to
979 * PCI_ID_F_VFIO_DRIVER_OVERRIDE.
980 */
981#define PCI_DRIVER_OVERRIDE_DEVICE_VFIO(vend, dev) \
982 PCI_DEVICE_DRIVER_OVERRIDE(vend, dev, PCI_ID_F_VFIO_DRIVER_OVERRIDE)
983
3d567e0e 984/**
0aa0f5d1 985 * PCI_DEVICE_SUB - macro used to describe a specific PCI device with subsystem
3d567e0e
NNS
986 * @vend: the 16 bit PCI Vendor ID
987 * @dev: the 16 bit PCI Device ID
988 * @subvend: the 16 bit PCI Subvendor ID
989 * @subdev: the 16 bit PCI Subdevice ID
990 *
991 * This macro is used to create a struct pci_device_id that matches a
992 * specific device with subsystem information.
993 */
994#define PCI_DEVICE_SUB(vend, dev, subvend, subdev) \
995 .vendor = (vend), .device = (dev), \
996 .subvendor = (subvend), .subdevice = (subdev)
997
1da177e4 998/**
0aa0f5d1 999 * PCI_DEVICE_CLASS - macro used to describe a specific PCI device class
1da177e4
LT
1000 * @dev_class: the class, subclass, prog-if triple for this device
1001 * @dev_class_mask: the class mask for this device
1002 *
1003 * This macro is used to create a struct pci_device_id that matches a
4352dfd5 1004 * specific PCI class. The vendor, device, subvendor, and subdevice
1da177e4
LT
1005 * fields will be set to PCI_ANY_ID.
1006 */
1007#define PCI_DEVICE_CLASS(dev_class,dev_class_mask) \
1008 .class = (dev_class), .class_mask = (dev_class_mask), \
1009 .vendor = PCI_ANY_ID, .device = PCI_ANY_ID, \
1010 .subvendor = PCI_ANY_ID, .subdevice = PCI_ANY_ID
1011
1597cacb 1012/**
0aa0f5d1 1013 * PCI_VDEVICE - macro used to describe a specific PCI device in short form
c1309040
MR
1014 * @vend: the vendor name
1015 * @dev: the 16 bit PCI Device ID
1597cacb
AC
1016 *
1017 * This macro is used to create a struct pci_device_id that matches a
1018 * specific PCI device. The subvendor, and subdevice fields will be set
1019 * to PCI_ANY_ID. The macro allows the next field to follow as the device
1020 * private data.
1021 */
c1309040
MR
1022#define PCI_VDEVICE(vend, dev) \
1023 .vendor = PCI_VENDOR_ID_##vend, .device = (dev), \
1024 .subvendor = PCI_ANY_ID, .subdevice = PCI_ANY_ID, 0, 0
1597cacb 1025
b72ae8ca
AS
1026/**
1027 * PCI_DEVICE_DATA - macro used to describe a specific PCI device in very short form
1028 * @vend: the vendor name (without PCI_VENDOR_ID_ prefix)
1029 * @dev: the device name (without PCI_DEVICE_ID_<vend>_ prefix)
1030 * @data: the driver data to be filled
1031 *
1032 * This macro is used to create a struct pci_device_id that matches a
1033 * specific PCI device. The subvendor, and subdevice fields will be set
1034 * to PCI_ANY_ID.
1035 */
1036#define PCI_DEVICE_DATA(vend, dev, data) \
1037 .vendor = PCI_VENDOR_ID_##vend, .device = PCI_DEVICE_ID_##vend##_##dev, \
1038 .subvendor = PCI_ANY_ID, .subdevice = PCI_ANY_ID, 0, 0, \
1039 .driver_data = (kernel_ulong_t)(data)
1040
5bbe029f 1041enum {
0aa0f5d1
BH
1042 PCI_REASSIGN_ALL_RSRC = 0x00000001, /* Ignore firmware setup */
1043 PCI_REASSIGN_ALL_BUS = 0x00000002, /* Reassign all bus numbers */
1044 PCI_PROBE_ONLY = 0x00000004, /* Use existing setup */
1045 PCI_CAN_SKIP_ISA_ALIGN = 0x00000008, /* Don't do ISA alignment */
1046 PCI_ENABLE_PROC_DOMAINS = 0x00000010, /* Enable domains in /proc */
5bbe029f 1047 PCI_COMPAT_DOMAIN_0 = 0x00000020, /* ... except domain 0 */
0aa0f5d1 1048 PCI_SCAN_ALL_PCIE_DEVS = 0x00000040, /* Scan all, not just dev 0 */
5bbe029f
BH
1049};
1050
0d8006dd
HX
1051#define PCI_IRQ_LEGACY (1 << 0) /* Allow legacy interrupts */
1052#define PCI_IRQ_MSI (1 << 1) /* Allow MSI interrupts */
1053#define PCI_IRQ_MSIX (1 << 2) /* Allow MSI-X interrupts */
1054#define PCI_IRQ_AFFINITY (1 << 3) /* Auto-assign affinity */
1055
0aa0f5d1 1056/* These external functions are only available when PCI support is enabled */
1da177e4
LT
1057#ifdef CONFIG_PCI
1058
5bbe029f
BH
1059extern unsigned int pci_flags;
1060
1061static inline void pci_set_flags(int flags) { pci_flags = flags; }
1062static inline void pci_add_flags(int flags) { pci_flags |= flags; }
1063static inline void pci_clear_flags(int flags) { pci_flags &= ~flags; }
1064static inline int pci_has_flag(int flag) { return pci_flags & flag; }
1065
a58674ff 1066void pcie_bus_configure_settings(struct pci_bus *bus);
b03e7495
JM
1067
1068enum pcie_bus_config_types {
0aa0f5d1
BH
1069 PCIE_BUS_TUNE_OFF, /* Don't touch MPS at all */
1070 PCIE_BUS_DEFAULT, /* Ensure MPS matches upstream bridge */
1071 PCIE_BUS_SAFE, /* Use largest MPS boot-time devices support */
1072 PCIE_BUS_PERFORMANCE, /* Use MPS and MRRS for best performance */
1073 PCIE_BUS_PEER2PEER, /* Set MPS = 128 for all devices */
b03e7495
JM
1074};
1075
1076extern enum pcie_bus_config_types pcie_bus_config;
1077
1da177e4
LT
1078extern struct bus_type pci_bus_type;
1079
f7625980
BH
1080/* Do NOT directly access these two variables, unless you are arch-specific PCI
1081 * code, or PCI core code. */
0aa0f5d1 1082extern struct list_head pci_root_buses; /* List of all known PCI buses */
f7625980 1083/* Some device drivers need know if PCI is initiated */
f39d5b72 1084int no_pci_devices(void);
1da177e4 1085
3c449ed0 1086void pcibios_resource_survey_bus(struct pci_bus *bus);
7b77061f 1087void pcibios_bus_add_device(struct pci_dev *pdev);
10a95747
JL
1088void pcibios_add_bus(struct pci_bus *bus);
1089void pcibios_remove_bus(struct pci_bus *bus);
1da177e4 1090void pcibios_fixup_bus(struct pci_bus *);
4a7fb636 1091int __must_check pcibios_enable_device(struct pci_dev *, int mask);
f7625980 1092/* Architecture-specific versions may override this (weak) */
05cca6e5 1093char *pcibios_setup(char *str);
1da177e4
LT
1094
1095/* Used only when drivers/pci/setup.c is used */
3b7a17fc 1096resource_size_t pcibios_align_resource(void *, const struct resource *,
b26b2d49 1097 resource_size_t,
e31dd6e4 1098 resource_size_t);
1da177e4 1099
d1bbf38a 1100/* Weak but can be overridden by arch */
2d1c8618
BH
1101void pci_fixup_cardbus(struct pci_bus *);
1102
1da177e4
LT
1103/* Generic PCI functions used internally */
1104
fc279850 1105void pcibios_resource_to_bus(struct pci_bus *bus, struct pci_bus_region *region,
36a66cd6 1106 struct resource *res);
fc279850 1107void pcibios_bus_to_resource(struct pci_bus *bus, struct resource *res,
36a66cd6 1108 struct pci_bus_region *region);
d1fd4fb6 1109void pcibios_scan_specific_bus(int busn);
f39d5b72 1110struct pci_bus *pci_find_bus(int domain, int busnr);
c48f1670 1111void pci_bus_add_devices(const struct pci_bus *bus);
de4b2f76 1112struct pci_bus *pci_scan_bus(int bus, struct pci_ops *ops, void *sysdata);
166c6370
BH
1113struct pci_bus *pci_create_root_bus(struct device *parent, int bus,
1114 struct pci_ops *ops, void *sysdata,
1115 struct list_head *resources);
49b8e3f3 1116int pci_host_probe(struct pci_host_bridge *bridge);
98a35831
YL
1117int pci_bus_insert_busn_res(struct pci_bus *b, int bus, int busmax);
1118int pci_bus_update_busn_res_end(struct pci_bus *b, int busmax);
1119void pci_bus_release_busn_res(struct pci_bus *b);
15856ad5 1120struct pci_bus *pci_scan_root_bus(struct device *parent, int bus,
0aa0f5d1
BH
1121 struct pci_ops *ops, void *sysdata,
1122 struct list_head *resources);
1228c4b6 1123int pci_scan_root_bus_bridge(struct pci_host_bridge *bridge);
05cca6e5
GKH
1124struct pci_bus *pci_add_new_bus(struct pci_bus *parent, struct pci_dev *dev,
1125 int busnr);
f46753c5 1126struct pci_slot *pci_create_slot(struct pci_bus *parent, int slot_nr,
828f3768
AC
1127 const char *name,
1128 struct hotplug_slot *hotplug);
f46753c5 1129void pci_destroy_slot(struct pci_slot *slot);
017ffe64
YW
1130#ifdef CONFIG_SYSFS
1131void pci_dev_assign_slot(struct pci_dev *dev);
1132#else
1133static inline void pci_dev_assign_slot(struct pci_dev *dev) { }
1134#endif
1da177e4 1135int pci_scan_slot(struct pci_bus *bus, int devfn);
05cca6e5 1136struct pci_dev *pci_scan_single_device(struct pci_bus *bus, int devfn);
cdb9b9f7 1137void pci_device_add(struct pci_dev *dev, struct pci_bus *bus);
1da177e4 1138unsigned int pci_scan_child_bus(struct pci_bus *bus);
c893d133 1139void pci_bus_add_device(struct pci_dev *dev);
1da177e4 1140void pci_read_bridge_bases(struct pci_bus *child);
05cca6e5
GKH
1141struct resource *pci_find_parent_resource(const struct pci_dev *dev,
1142 struct resource *res);
3df425f3 1143u8 pci_swizzle_interrupt_pin(const struct pci_dev *dev, u8 pin);
1da177e4 1144int pci_get_interrupt_pin(struct pci_dev *dev, struct pci_dev **bridge);
68feac87 1145u8 pci_common_swizzle(struct pci_dev *dev, u8 *pinp);
f39d5b72
BH
1146struct pci_dev *pci_dev_get(struct pci_dev *dev);
1147void pci_dev_put(struct pci_dev *dev);
1148void pci_remove_bus(struct pci_bus *b);
1149void pci_stop_and_remove_bus_device(struct pci_dev *dev);
9d16947b 1150void pci_stop_and_remove_bus_device_locked(struct pci_dev *dev);
cdfcc572
YL
1151void pci_stop_root_bus(struct pci_bus *bus);
1152void pci_remove_root_bus(struct pci_bus *bus);
b3743fa4 1153void pci_setup_cardbus(struct pci_bus *bus);
d366d28c 1154void pcibios_setup_bridge(struct pci_bus *bus, unsigned long type);
f39d5b72 1155void pci_sort_breadthfirst(void);
fb8a0d9d
WM
1156#define dev_is_pci(d) ((d)->bus == &pci_bus_type)
1157#define dev_is_pf(d) ((dev_is_pci(d) ? to_pci_dev(d)->is_physfn : false))
1da177e4
LT
1158
1159/* Generic PCI functions exported to card drivers */
1160
f646c2a0
PM
1161u8 pci_bus_find_capability(struct pci_bus *bus, unsigned int devfn, int cap);
1162u8 pci_find_capability(struct pci_dev *dev, int cap);
1163u8 pci_find_next_capability(struct pci_dev *dev, u8 pos, int cap);
1164u8 pci_find_ht_capability(struct pci_dev *dev, int ht_cap);
1165u8 pci_find_next_ht_capability(struct pci_dev *dev, u8 pos, int ht_cap);
ee8b1c47
BH
1166u16 pci_find_ext_capability(struct pci_dev *dev, int cap);
1167u16 pci_find_next_ext_capability(struct pci_dev *dev, u16 pos, int cap);
29f3eb64 1168struct pci_bus *pci_find_next_bus(const struct pci_bus *from);
c124fd9a 1169u16 pci_find_vsec_capability(struct pci_dev *dev, u16 vendor, int cap);
ee122037 1170u16 pci_find_dvsec_capability(struct pci_dev *dev, u16 vendor, u16 dvsec);
1da177e4 1171
70c0923b
JK
1172u64 pci_get_dsn(struct pci_dev *dev);
1173
d42552c3 1174struct pci_dev *pci_get_device(unsigned int vendor, unsigned int device,
0aa0f5d1 1175 struct pci_dev *from);
05cca6e5 1176struct pci_dev *pci_get_subsys(unsigned int vendor, unsigned int device,
0aa0f5d1
BH
1177 unsigned int ss_vendor, unsigned int ss_device,
1178 struct pci_dev *from);
05cca6e5 1179struct pci_dev *pci_get_slot(struct pci_bus *bus, unsigned int devfn);
3c299dc2
AP
1180struct pci_dev *pci_get_domain_bus_and_slot(int domain, unsigned int bus,
1181 unsigned int devfn);
05cca6e5 1182struct pci_dev *pci_get_class(unsigned int class, struct pci_dev *from);
1da177e4
LT
1183int pci_dev_present(const struct pci_device_id *ids);
1184
05cca6e5
GKH
1185int pci_bus_read_config_byte(struct pci_bus *bus, unsigned int devfn,
1186 int where, u8 *val);
1187int pci_bus_read_config_word(struct pci_bus *bus, unsigned int devfn,
1188 int where, u16 *val);
1189int pci_bus_read_config_dword(struct pci_bus *bus, unsigned int devfn,
1190 int where, u32 *val);
1191int pci_bus_write_config_byte(struct pci_bus *bus, unsigned int devfn,
1192 int where, u8 val);
1193int pci_bus_write_config_word(struct pci_bus *bus, unsigned int devfn,
1194 int where, u16 val);
1195int pci_bus_write_config_dword(struct pci_bus *bus, unsigned int devfn,
1196 int where, u32 val);
1f94a94f
RH
1197
1198int pci_generic_config_read(struct pci_bus *bus, unsigned int devfn,
1199 int where, int size, u32 *val);
1200int pci_generic_config_write(struct pci_bus *bus, unsigned int devfn,
1201 int where, int size, u32 val);
1202int pci_generic_config_read32(struct pci_bus *bus, unsigned int devfn,
1203 int where, int size, u32 *val);
1204int pci_generic_config_write32(struct pci_bus *bus, unsigned int devfn,
1205 int where, int size, u32 val);
1206
a72b46c3 1207struct pci_ops *pci_bus_set_ops(struct pci_bus *bus, struct pci_ops *ops);
1da177e4 1208
d3881e50
KB
1209int pci_read_config_byte(const struct pci_dev *dev, int where, u8 *val);
1210int pci_read_config_word(const struct pci_dev *dev, int where, u16 *val);
1211int pci_read_config_dword(const struct pci_dev *dev, int where, u32 *val);
1212int pci_write_config_byte(const struct pci_dev *dev, int where, u8 val);
1213int pci_write_config_word(const struct pci_dev *dev, int where, u16 val);
1214int pci_write_config_dword(const struct pci_dev *dev, int where, u32 val);
1da177e4 1215
8c0d3a02
JL
1216int pcie_capability_read_word(struct pci_dev *dev, int pos, u16 *val);
1217int pcie_capability_read_dword(struct pci_dev *dev, int pos, u32 *val);
1218int pcie_capability_write_word(struct pci_dev *dev, int pos, u16 val);
1219int pcie_capability_write_dword(struct pci_dev *dev, int pos, u32 val);
1220int pcie_capability_clear_and_set_word(struct pci_dev *dev, int pos,
1221 u16 clear, u16 set);
1222int pcie_capability_clear_and_set_dword(struct pci_dev *dev, int pos,
1223 u32 clear, u32 set);
1224
1225static inline int pcie_capability_set_word(struct pci_dev *dev, int pos,
1226 u16 set)
1227{
1228 return pcie_capability_clear_and_set_word(dev, pos, 0, set);
1229}
1230
1231static inline int pcie_capability_set_dword(struct pci_dev *dev, int pos,
1232 u32 set)
1233{
1234 return pcie_capability_clear_and_set_dword(dev, pos, 0, set);
1235}
1236
1237static inline int pcie_capability_clear_word(struct pci_dev *dev, int pos,
1238 u16 clear)
1239{
1240 return pcie_capability_clear_and_set_word(dev, pos, clear, 0);
1241}
1242
1243static inline int pcie_capability_clear_dword(struct pci_dev *dev, int pos,
1244 u32 clear)
1245{
1246 return pcie_capability_clear_and_set_dword(dev, pos, clear, 0);
1247}
1248
0aa0f5d1 1249/* User-space driven config access */
c63587d7
AW
1250int pci_user_read_config_byte(struct pci_dev *dev, int where, u8 *val);
1251int pci_user_read_config_word(struct pci_dev *dev, int where, u16 *val);
1252int pci_user_read_config_dword(struct pci_dev *dev, int where, u32 *val);
1253int pci_user_write_config_byte(struct pci_dev *dev, int where, u8 val);
1254int pci_user_write_config_word(struct pci_dev *dev, int where, u16 val);
1255int pci_user_write_config_dword(struct pci_dev *dev, int where, u32 val);
1256
4a7fb636 1257int __must_check pci_enable_device(struct pci_dev *dev);
b718989d
BH
1258int __must_check pci_enable_device_io(struct pci_dev *dev);
1259int __must_check pci_enable_device_mem(struct pci_dev *dev);
0b62e13b 1260int __must_check pci_reenable_device(struct pci_dev *);
9ac7849e
TH
1261int __must_check pcim_enable_device(struct pci_dev *pdev);
1262void pcim_pin_device(struct pci_dev *pdev);
1263
99b3c58f
PG
1264static inline bool pci_intx_mask_supported(struct pci_dev *pdev)
1265{
1266 /*
1267 * INTx masking is supported if PCI_COMMAND_INTX_DISABLE is
1268 * writable and no quirk has marked the feature broken.
1269 */
1270 return !pdev->broken_intx_masking;
1271}
1272
296ccb08
YS
1273static inline int pci_is_enabled(struct pci_dev *pdev)
1274{
1275 return (atomic_read(&pdev->enable_cnt) > 0);
1276}
1277
9ac7849e
TH
1278static inline int pci_is_managed(struct pci_dev *pdev)
1279{
1280 return pdev->is_managed;
1281}
1282
1da177e4 1283void pci_disable_device(struct pci_dev *dev);
96c55900
MS
1284
1285extern unsigned int pcibios_max_latency;
1da177e4 1286void pci_set_master(struct pci_dev *dev);
6a479079 1287void pci_clear_master(struct pci_dev *dev);
96c55900 1288
f7bdd12d 1289int pci_set_pcie_reset_state(struct pci_dev *dev, enum pcie_reset_state state);
15ea76d4 1290int pci_set_cacheline_size(struct pci_dev *dev);
4a7fb636 1291int __must_check pci_set_mwi(struct pci_dev *dev);
fc0f9f4d 1292int __must_check pcim_set_mwi(struct pci_dev *dev);
694625c0 1293int pci_try_set_mwi(struct pci_dev *dev);
1da177e4 1294void pci_clear_mwi(struct pci_dev *dev);
1fd3dde5 1295void pci_disable_parity(struct pci_dev *dev);
a04ce0ff 1296void pci_intx(struct pci_dev *dev, int enable);
a2e27787
JK
1297bool pci_check_and_mask_intx(struct pci_dev *dev);
1298bool pci_check_and_unmask_intx(struct pci_dev *dev);
157e876f 1299int pci_wait_for_pending(struct pci_dev *dev, int pos, u16 mask);
3775a209 1300int pci_wait_for_pending_transaction(struct pci_dev *dev);
d556ad4b
PO
1301int pcix_get_max_mmrbc(struct pci_dev *dev);
1302int pcix_get_mmrbc(struct pci_dev *dev);
1303int pcix_set_mmrbc(struct pci_dev *dev, int mmrbc);
2637e5b5 1304int pcie_get_readrq(struct pci_dev *dev);
d556ad4b 1305int pcie_set_readrq(struct pci_dev *dev, int rq);
b03e7495
JM
1306int pcie_get_mps(struct pci_dev *dev);
1307int pcie_set_mps(struct pci_dev *dev, int mps);
6db79a88
TG
1308u32 pcie_bandwidth_available(struct pci_dev *dev, struct pci_dev **limiting_dev,
1309 enum pci_bus_speed *speed,
1310 enum pcie_link_width *width);
9e506a7b 1311void pcie_print_link_status(struct pci_dev *dev);
9bdc81ce 1312int pcie_reset_flr(struct pci_dev *dev, bool probe);
91295d79 1313int pcie_flr(struct pci_dev *dev);
a96d627a 1314int __pci_reset_function_locked(struct pci_dev *dev);
8dd7f803 1315int pci_reset_function(struct pci_dev *dev);
a477b9cd 1316int pci_reset_function_locked(struct pci_dev *dev);
61cf16d8 1317int pci_try_reset_function(struct pci_dev *dev);
9a3d2b9b 1318int pci_probe_reset_slot(struct pci_slot *slot);
9a3d2b9b 1319int pci_probe_reset_bus(struct pci_bus *bus);
c6a44ba9 1320int pci_reset_bus(struct pci_dev *dev);
9e33002f
GS
1321void pci_reset_secondary_bus(struct pci_dev *dev);
1322void pcibios_reset_secondary_bus(struct pci_dev *dev);
14add80b 1323void pci_update_resource(struct pci_dev *dev, int resno);
4a7fb636 1324int __must_check pci_assign_resource(struct pci_dev *dev, int i);
2bbc6942 1325int __must_check pci_reassign_resource(struct pci_dev *dev, int i, resource_size_t add_size, resource_size_t align);
8bb705e3 1326void pci_release_resource(struct pci_dev *dev, int resno);
192f1bf7
ND
1327static inline int pci_rebar_bytes_to_size(u64 bytes)
1328{
1329 bytes = roundup_pow_of_two(bytes);
1330
1331 /* Return BAR size as defined in the resizable BAR specification */
1332 return max(ilog2(bytes), 20) - 20;
1333}
1334
8fbdbb66 1335u32 pci_rebar_get_possible_sizes(struct pci_dev *pdev, int bar);
8bb705e3 1336int __must_check pci_resize_resource(struct pci_dev *dev, int i, int size);
c87deff7 1337int pci_select_bars(struct pci_dev *dev, unsigned long flags);
8496e85c 1338bool pci_device_is_present(struct pci_dev *pdev);
08249651 1339void pci_ignore_hotplug(struct pci_dev *dev);
2856ba60 1340struct pci_dev *pci_real_dma_dev(struct pci_dev *dev);
ec5d9e87 1341int pci_status_get_and_clear_errors(struct pci_dev *pdev);
1da177e4 1342
704e8953
CH
1343int __printf(6, 7) pci_request_irq(struct pci_dev *dev, unsigned int nr,
1344 irq_handler_t handler, irq_handler_t thread_fn, void *dev_id,
1345 const char *fmt, ...);
1346void pci_free_irq(struct pci_dev *dev, unsigned int nr, void *dev_id);
1347
1da177e4 1348/* ROM control related routines */
e416de5e
AC
1349int pci_enable_rom(struct pci_dev *pdev);
1350void pci_disable_rom(struct pci_dev *pdev);
144a50ea 1351void __iomem __must_check *pci_map_rom(struct pci_dev *pdev, size_t *size);
1da177e4 1352void pci_unmap_rom(struct pci_dev *pdev, void __iomem *rom);
1da177e4
LT
1353
1354/* Power management related routines */
1355int pci_save_state(struct pci_dev *dev);
1d3c16a8 1356void pci_restore_state(struct pci_dev *dev);
ffbdd3f7 1357struct pci_saved_state *pci_store_saved_state(struct pci_dev *dev);
98d9b271
KRW
1358int pci_load_saved_state(struct pci_dev *dev,
1359 struct pci_saved_state *state);
ffbdd3f7
AW
1360int pci_load_and_free_saved_state(struct pci_dev *dev,
1361 struct pci_saved_state **state);
d6aa37cd 1362int pci_platform_power_transition(struct pci_dev *dev, pci_power_t state);
9c8550ee
LT
1363int pci_set_power_state(struct pci_dev *dev, pci_power_t state);
1364pci_power_t pci_choose_state(struct pci_dev *dev, pm_message_t state);
e5899e1b 1365bool pci_pme_capable(struct pci_dev *dev, pci_power_t state);
5a6c9b60 1366void pci_pme_active(struct pci_dev *dev, bool enable);
0847684c 1367int pci_enable_wake(struct pci_dev *dev, pci_power_t state, bool enable);
0235c4fc 1368int pci_wake_from_d3(struct pci_dev *dev, bool enable);
404cc2d8
RW
1369int pci_prepare_to_sleep(struct pci_dev *dev);
1370int pci_back_from_sleep(struct pci_dev *dev);
b67ea761 1371bool pci_dev_run_wake(struct pci_dev *dev);
9d26d3a8
MW
1372void pci_d3cold_enable(struct pci_dev *dev);
1373void pci_d3cold_disable(struct pci_dev *dev);
a99b646a 1374bool pcie_relaxed_ordering_enabled(struct pci_dev *dev);
99efde6c 1375void pci_resume_bus(struct pci_bus *bus);
2a4d2c42 1376void pci_bus_set_current_state(struct pci_bus *bus, pci_power_t state);
1da177e4 1377
bb209c82
BH
1378/* For use by arch with custom probe code */
1379void set_pcie_port_type(struct pci_dev *pdev);
1380void set_pcie_hotplug_bridge(struct pci_dev *pdev);
1381
ce5ccdef 1382/* Functions for PCI Hotplug drivers to use */
2f320521 1383unsigned int pci_rescan_bus_bridge_resize(struct pci_dev *bridge);
3ed4fd96 1384unsigned int pci_rescan_bus(struct pci_bus *bus);
9d16947b
RW
1385void pci_lock_rescan_remove(void);
1386void pci_unlock_rescan_remove(void);
ce5ccdef 1387
0aa0f5d1 1388/* Vital Product Data routines */
287d19ce
SH
1389ssize_t pci_read_vpd(struct pci_dev *dev, loff_t pos, size_t count, void *buf);
1390ssize_t pci_write_vpd(struct pci_dev *dev, loff_t pos, size_t count, const void *buf);
bf2928c7
HK
1391ssize_t pci_read_vpd_any(struct pci_dev *dev, loff_t pos, size_t count, void *buf);
1392ssize_t pci_write_vpd_any(struct pci_dev *dev, loff_t pos, size_t count, const void *buf);
287d19ce 1393
1da177e4 1394/* Helper functions for low-level code (drivers/pci/setup-[bus,res].c) */
925845bd 1395resource_size_t pcibios_retrieve_fw_addr(struct pci_dev *dev, int idx);
ea741551 1396void pci_bus_assign_resources(const struct pci_bus *bus);
765bf9b7 1397void pci_bus_claim_resources(struct pci_bus *bus);
1da177e4
LT
1398void pci_bus_size_bridges(struct pci_bus *bus);
1399int pci_claim_resource(struct pci_dev *, int);
8505e729 1400int pci_claim_bridge_resource(struct pci_dev *bridge, int i);
1da177e4 1401void pci_assign_unassigned_resources(void);
6841ec68 1402void pci_assign_unassigned_bridge_resources(struct pci_dev *bridge);
17787940 1403void pci_assign_unassigned_bus_resources(struct pci_bus *bus);
39772038 1404void pci_assign_unassigned_root_bus_resources(struct pci_bus *bus);
8bb705e3 1405int pci_reassign_bridge_resources(struct pci_dev *bridge, unsigned long type);
1da177e4 1406void pdev_enable_device(struct pci_dev *);
842de40d 1407int pci_enable_resources(struct pci_dev *, int mask);
47a650f2 1408void pci_assign_irq(struct pci_dev *dev);
afd29f90 1409struct resource *pci_find_resource(struct pci_dev *dev, struct resource *res);
1da177e4 1410#define HAVE_PCI_REQ_REGIONS 2
4a7fb636 1411int __must_check pci_request_regions(struct pci_dev *, const char *);
e8de1481 1412int __must_check pci_request_regions_exclusive(struct pci_dev *, const char *);
1da177e4 1413void pci_release_regions(struct pci_dev *);
4a7fb636 1414int __must_check pci_request_region(struct pci_dev *, int, const char *);
1da177e4 1415void pci_release_region(struct pci_dev *, int);
c87deff7 1416int pci_request_selected_regions(struct pci_dev *, int, const char *);
e8de1481 1417int pci_request_selected_regions_exclusive(struct pci_dev *, int, const char *);
c87deff7 1418void pci_release_selected_regions(struct pci_dev *, int);
1da177e4 1419
27829479
IW
1420static inline __must_check struct resource *
1421pci_request_config_region_exclusive(struct pci_dev *pdev, unsigned int offset,
1422 unsigned int len, const char *name)
1423{
1424 return __request_region(&pdev->driver_exclusive_resource, offset, len,
1425 name, IORESOURCE_EXCLUSIVE);
1426}
1427
1428static inline void pci_release_config_region(struct pci_dev *pdev,
1429 unsigned int offset,
1430 unsigned int len)
1431{
1432 __release_region(&pdev->driver_exclusive_resource, offset, len);
1433}
1434
1da177e4 1435/* drivers/pci/bus.c */
45ca9e97 1436void pci_add_resource(struct list_head *resources, struct resource *res);
0efd5aab
BH
1437void pci_add_resource_offset(struct list_head *resources, struct resource *res,
1438 resource_size_t offset);
45ca9e97 1439void pci_free_resource_list(struct list_head *resources);
950334bc
BH
1440void pci_bus_add_resource(struct pci_bus *bus, struct resource *res,
1441 unsigned int flags);
2fe2abf8
BH
1442struct resource *pci_bus_resource_n(const struct pci_bus *bus, int n);
1443void pci_bus_remove_resources(struct pci_bus *bus);
ab909509 1444void pci_bus_remove_resource(struct pci_bus *bus, struct resource *res);
950334bc
BH
1445int devm_request_pci_bus_resources(struct device *dev,
1446 struct list_head *resources);
2fe2abf8 1447
bfc45606
DD
1448/* Temporary until new and working PCI SBR API in place */
1449int pci_bridge_secondary_bus_reset(struct pci_dev *dev);
1450
02992064
AS
1451#define __pci_bus_for_each_res0(bus, res, ...) \
1452 for (unsigned int __b = 0; \
1453 (res = pci_bus_resource_n(bus, __b)) || __b < PCI_BRIDGE_RESOURCE_NUM; \
1454 __b++)
1455
1456#define __pci_bus_for_each_res1(bus, res, __b) \
1457 for (__b = 0; \
1458 (res = pci_bus_resource_n(bus, __b)) || __b < PCI_BRIDGE_RESOURCE_NUM; \
1459 __b++)
1460
ceb928be
AS
1461/**
1462 * pci_bus_for_each_resource - iterate over PCI bus resources
1463 * @bus: the PCI bus
1464 * @res: pointer to the current resource
02992064 1465 * @...: optional index of the current resource
ceb928be
AS
1466 *
1467 * Iterate over PCI bus resources. The first part is to go over PCI bus
1468 * resource array, which has at most the %PCI_BRIDGE_RESOURCE_NUM entries.
1469 * After that continue with the separate list of the additional resources,
1470 * if not empty. That's why the Logical OR is being used.
1471 *
1472 * Possible usage:
1473 *
1474 * struct pci_bus *bus = ...;
1475 * struct resource *res;
1476 * unsigned int i;
1477 *
02992064 1478 * // With optional index
ceb928be
AS
1479 * pci_bus_for_each_resource(bus, res, i)
1480 * pr_info("PCI bus resource[%u]: %pR\n", i, res);
02992064
AS
1481 *
1482 * // Without index
1483 * pci_bus_for_each_resource(bus, res)
1484 * _do_something_(res);
ceb928be 1485 */
02992064
AS
1486#define pci_bus_for_each_resource(bus, res, ...) \
1487 CONCATENATE(__pci_bus_for_each_res, COUNT_ARGS(__VA_ARGS__)) \
1488 (bus, res, __VA_ARGS__)
89a74ecc 1489
4a7fb636
AM
1490int __must_check pci_bus_alloc_resource(struct pci_bus *bus,
1491 struct resource *res, resource_size_t size,
1492 resource_size_t align, resource_size_t min,
664c2848 1493 unsigned long type_mask,
3b7a17fc
DB
1494 resource_size_t (*alignf)(void *,
1495 const struct resource *,
b26b2d49
DB
1496 resource_size_t,
1497 resource_size_t),
4a7fb636 1498 void *alignf_data);
1da177e4 1499
8b921acf 1500
fcfaab30
GP
1501int pci_register_io_range(struct fwnode_handle *fwnode, phys_addr_t addr,
1502 resource_size_t size);
c5076cfe
TN
1503unsigned long pci_address_to_pio(phys_addr_t addr);
1504phys_addr_t pci_pio_to_address(unsigned long pio);
8b921acf 1505int pci_remap_iospace(const struct resource *res, phys_addr_t phys_addr);
a5fb9fb0
SS
1506int devm_pci_remap_iospace(struct device *dev, const struct resource *res,
1507 phys_addr_t phys_addr);
4d3f1384 1508void pci_unmap_iospace(struct resource *res);
490cb6dd
LP
1509void __iomem *devm_pci_remap_cfgspace(struct device *dev,
1510 resource_size_t offset,
1511 resource_size_t size);
1512void __iomem *devm_pci_remap_cfg_resource(struct device *dev,
1513 struct resource *res);
8b921acf 1514
3a9ad0b4 1515static inline pci_bus_addr_t pci_bus_address(struct pci_dev *pdev, int bar)
06cf56e4
BH
1516{
1517 struct pci_bus_region region;
1518
1519 pcibios_resource_to_bus(pdev->bus, &region, &pdev->resource[bar]);
1520 return region.start;
1521}
1522
863b18f4 1523/* Proper probing supporting hot-pluggable devices */
725522b5
GKH
1524int __must_check __pci_register_driver(struct pci_driver *, struct module *,
1525 const char *mod_name);
bba81165 1526
0aa0f5d1 1527/* pci_register_driver() must be a macro so KBUILD_MODNAME can be expanded */
bba81165
AM
1528#define pci_register_driver(driver) \
1529 __pci_register_driver(driver, THIS_MODULE, KBUILD_MODNAME)
863b18f4 1530
05cca6e5 1531void pci_unregister_driver(struct pci_driver *dev);
aad4f400
GKH
1532
1533/**
1534 * module_pci_driver() - Helper macro for registering a PCI driver
1535 * @__pci_driver: pci_driver struct
1536 *
1537 * Helper macro for PCI drivers which do not do anything special in module
1538 * init/exit. This eliminates a lot of boilerplate. Each module may only
1539 * use this macro once, and calling it replaces module_init() and module_exit()
1540 */
1541#define module_pci_driver(__pci_driver) \
0aa0f5d1 1542 module_driver(__pci_driver, pci_register_driver, pci_unregister_driver)
aad4f400 1543
b4eb6cdb
PG
1544/**
1545 * builtin_pci_driver() - Helper macro for registering a PCI driver
1546 * @__pci_driver: pci_driver struct
1547 *
1548 * Helper macro for PCI drivers which do not do anything special in their
1549 * init code. This eliminates a lot of boilerplate. Each driver may only
1550 * use this macro once, and calling it replaces device_initcall(...)
1551 */
1552#define builtin_pci_driver(__pci_driver) \
1553 builtin_driver(__pci_driver, pci_register_driver)
1554
05cca6e5 1555struct pci_driver *pci_dev_driver(const struct pci_dev *dev);
9dba910e
TH
1556int pci_add_dynid(struct pci_driver *drv,
1557 unsigned int vendor, unsigned int device,
1558 unsigned int subvendor, unsigned int subdevice,
1559 unsigned int class, unsigned int class_mask,
1560 unsigned long driver_data);
05cca6e5
GKH
1561const struct pci_device_id *pci_match_id(const struct pci_device_id *ids,
1562 struct pci_dev *dev);
1563int pci_scan_bridge(struct pci_bus *bus, struct pci_dev *dev, int max,
1564 int pass);
1da177e4 1565
70298c6e 1566void pci_walk_bus(struct pci_bus *top, int (*cb)(struct pci_dev *, void *),
cecf4864 1567 void *userdata);
ac7dc65a 1568int pci_cfg_space_size(struct pci_dev *dev);
05cca6e5 1569unsigned char pci_bus_max_busnr(struct pci_bus *bus);
e2444273 1570void pci_setup_bridge(struct pci_bus *bus);
ac5ad93e
GS
1571resource_size_t pcibios_window_alignment(struct pci_bus *bus,
1572 unsigned long type);
cecf4864 1573
3448a19d
DA
1574#define PCI_VGA_STATE_CHANGE_BRIDGE (1 << 0)
1575#define PCI_VGA_STATE_CHANGE_DECODES (1 << 1)
1576
deb2d2ec 1577int pci_set_vga_state(struct pci_dev *pdev, bool decode,
3448a19d 1578 unsigned int command_bits, u32 flags);
fe537670 1579
d7cc609f
LG
1580/*
1581 * Virtual interrupts allow for more interrupts to be allocated
1582 * than the device has interrupts for. These are not programmed
1583 * into the device's MSI-X table and must be handled by some
1584 * other driver means.
1585 */
1586#define PCI_IRQ_VIRTUAL (1 << 4)
1587
4fe0d154
CH
1588#define PCI_IRQ_ALL_TYPES \
1589 (PCI_IRQ_LEGACY | PCI_IRQ_MSI | PCI_IRQ_MSIX)
aff17164 1590
1da177e4
LT
1591#include <linux/dmapool.h>
1592
1da177e4 1593struct msix_entry {
0aa0f5d1
BH
1594 u32 vector; /* Kernel uses to write allocated vector */
1595 u16 entry; /* Driver uses to specify entry, OS writes */
1da177e4
LT
1596};
1597
4c859804
BH
1598#ifdef CONFIG_PCI_MSI
1599int pci_msi_vec_count(struct pci_dev *dev);
f39d5b72 1600void pci_disable_msi(struct pci_dev *dev);
4c859804 1601int pci_msix_vec_count(struct pci_dev *dev);
f39d5b72 1602void pci_disable_msix(struct pci_dev *dev);
f39d5b72
BH
1603void pci_restore_msi_state(struct pci_dev *dev);
1604int pci_msi_enabled(void);
4fe03955 1605int pci_enable_msi(struct pci_dev *dev);
4c859804
BH
1606int pci_enable_msix_range(struct pci_dev *dev, struct msix_entry *entries,
1607 int minvec, int maxvec);
f7fc32cb
AG
1608static inline int pci_enable_msix_exact(struct pci_dev *dev,
1609 struct msix_entry *entries, int nvec)
1610{
1611 int rc = pci_enable_msix_range(dev, entries, nvec, nvec);
1612 if (rc < 0)
1613 return rc;
1614 return 0;
1615}
5c0997dc
AD
1616int pci_alloc_irq_vectors(struct pci_dev *dev, unsigned int min_vecs,
1617 unsigned int max_vecs, unsigned int flags);
402723ad
CH
1618int pci_alloc_irq_vectors_affinity(struct pci_dev *dev, unsigned int min_vecs,
1619 unsigned int max_vecs, unsigned int flags,
c66d4bd1 1620 struct irq_affinity *affd);
402723ad 1621
34026364
TG
1622bool pci_msix_can_alloc_dyn(struct pci_dev *dev);
1623struct msi_map pci_msix_alloc_irq_at(struct pci_dev *dev, unsigned int index,
1624 const struct irq_affinity_desc *affdesc);
1625void pci_msix_free_irq(struct pci_dev *pdev, struct msi_map map);
1626
aff17164
CH
1627void pci_free_irq_vectors(struct pci_dev *dev);
1628int pci_irq_vector(struct pci_dev *dev, unsigned int nr);
ee8d41e5 1629const struct cpumask *pci_irq_get_affinity(struct pci_dev *pdev, int vec);
aff17164 1630
4c859804 1631#else
2ee546c4 1632static inline int pci_msi_vec_count(struct pci_dev *dev) { return -ENOSYS; }
2ee546c4
BH
1633static inline void pci_disable_msi(struct pci_dev *dev) { }
1634static inline int pci_msix_vec_count(struct pci_dev *dev) { return -ENOSYS; }
2ee546c4 1635static inline void pci_disable_msix(struct pci_dev *dev) { }
2ee546c4
BH
1636static inline void pci_restore_msi_state(struct pci_dev *dev) { }
1637static inline int pci_msi_enabled(void) { return 0; }
4fe03955 1638static inline int pci_enable_msi(struct pci_dev *dev)
f7fc32cb 1639{ return -ENOSYS; }
302a2523 1640static inline int pci_enable_msix_range(struct pci_dev *dev,
0aa0f5d1 1641 struct msix_entry *entries, int minvec, int maxvec)
2ee546c4 1642{ return -ENOSYS; }
f7fc32cb 1643static inline int pci_enable_msix_exact(struct pci_dev *dev,
0aa0f5d1 1644 struct msix_entry *entries, int nvec)
f7fc32cb 1645{ return -ENOSYS; }
402723ad
CH
1646
1647static inline int
1648pci_alloc_irq_vectors_affinity(struct pci_dev *dev, unsigned int min_vecs,
1649 unsigned int max_vecs, unsigned int flags,
c66d4bd1 1650 struct irq_affinity *aff_desc)
aff17164 1651{
83b4605b
CH
1652 if ((flags & PCI_IRQ_LEGACY) && min_vecs == 1 && dev->irq)
1653 return 1;
1654 return -ENOSPC;
aff17164 1655}
5c0997dc
AD
1656static inline int
1657pci_alloc_irq_vectors(struct pci_dev *dev, unsigned int min_vecs,
1658 unsigned int max_vecs, unsigned int flags)
1659{
1660 return pci_alloc_irq_vectors_affinity(dev, min_vecs, max_vecs,
1661 flags, NULL);
1662}
402723ad 1663
195d8e5d
RC
1664static inline bool pci_msix_can_alloc_dyn(struct pci_dev *dev)
1665{ return false; }
2b129f0b
RC
1666static inline struct msi_map pci_msix_alloc_irq_at(struct pci_dev *dev, unsigned int index,
1667 const struct irq_affinity_desc *affdesc)
1668{
1669 struct msi_map map = { .index = -ENOSYS, };
1670
1671 return map;
1672}
1673
1674static inline void pci_msix_free_irq(struct pci_dev *pdev, struct msi_map map)
1675{
1676}
1677
aff17164
CH
1678static inline void pci_free_irq_vectors(struct pci_dev *dev)
1679{
1680}
1681
1682static inline int pci_irq_vector(struct pci_dev *dev, unsigned int nr)
1683{
1684 if (WARN_ON_ONCE(nr > 0))
1685 return -EINVAL;
1686 return dev->irq;
1687}
ee8d41e5
TG
1688static inline const struct cpumask *pci_irq_get_affinity(struct pci_dev *pdev,
1689 int vec)
1690{
1691 return cpu_possible_mask;
1692}
1da177e4
LT
1693#endif
1694
0d58e6c1
PB
1695/**
1696 * pci_irqd_intx_xlate() - Translate PCI INTx value to an IRQ domain hwirq
1697 * @d: the INTx IRQ domain
1698 * @node: the DT node for the device whose interrupt we're translating
1699 * @intspec: the interrupt specifier data from the DT
1700 * @intsize: the number of entries in @intspec
1701 * @out_hwirq: pointer at which to write the hwirq number
1702 * @out_type: pointer at which to write the interrupt type
1703 *
1704 * Translate a PCI INTx interrupt number from device tree in the range 1-4, as
1705 * stored in the standard PCI_INTERRUPT_PIN register, to a value in the range
1706 * 0-3 suitable for use in a 4 entry IRQ domain. That is, subtract one from the
1707 * INTx value to obtain the hwirq number.
1708 *
1709 * Returns 0 on success, or -EINVAL if the interrupt specifier is out of range.
1710 */
1711static inline int pci_irqd_intx_xlate(struct irq_domain *d,
1712 struct device_node *node,
1713 const u32 *intspec,
1714 unsigned int intsize,
1715 unsigned long *out_hwirq,
1716 unsigned int *out_type)
1717{
1718 const u32 intx = intspec[0];
1719
1720 if (intx < PCI_INTERRUPT_INTA || intx > PCI_INTERRUPT_INTD)
1721 return -EINVAL;
1722
1723 *out_hwirq = intx - PCI_INTERRUPT_INTA;
1724 return 0;
1725}
1726
ab0724ff 1727#ifdef CONFIG_PCIEPORTBUS
415e12b2 1728extern bool pcie_ports_disabled;
5352a44a 1729extern bool pcie_ports_native;
ab0724ff
MT
1730#else
1731#define pcie_ports_disabled true
5352a44a 1732#define pcie_ports_native false
ab0724ff 1733#endif
415e12b2 1734
aff5d055
HK
1735#define PCIE_LINK_STATE_L0S BIT(0)
1736#define PCIE_LINK_STATE_L1 BIT(1)
1737#define PCIE_LINK_STATE_CLKPM BIT(2)
1738#define PCIE_LINK_STATE_L1_1 BIT(3)
1739#define PCIE_LINK_STATE_L1_2 BIT(4)
1740#define PCIE_LINK_STATE_L1_1_PCIPM BIT(5)
1741#define PCIE_LINK_STATE_L1_2_PCIPM BIT(6)
de82f60f
MB
1742#define PCIE_LINK_STATE_ALL (PCIE_LINK_STATE_L0S | PCIE_LINK_STATE_L1 |\
1743 PCIE_LINK_STATE_CLKPM | PCIE_LINK_STATE_L1_1 |\
1744 PCIE_LINK_STATE_L1_2 | PCIE_LINK_STATE_L1_1_PCIPM |\
1745 PCIE_LINK_STATE_L1_2_PCIPM)
7ce2e76a 1746
4c859804 1747#ifdef CONFIG_PCIEASPM
7ce2e76a
KW
1748int pci_disable_link_state(struct pci_dev *pdev, int state);
1749int pci_disable_link_state_locked(struct pci_dev *pdev, int state);
de82f60f 1750int pci_enable_link_state(struct pci_dev *pdev, int state);
7ce2e76a 1751void pcie_no_aspm(void);
f39d5b72 1752bool pcie_aspm_support_enabled(void);
accd2dd7 1753bool pcie_aspm_enabled(struct pci_dev *pdev);
4c859804 1754#else
7ce2e76a
KW
1755static inline int pci_disable_link_state(struct pci_dev *pdev, int state)
1756{ return 0; }
1757static inline int pci_disable_link_state_locked(struct pci_dev *pdev, int state)
1758{ return 0; }
de82f60f
MB
1759static inline int pci_enable_link_state(struct pci_dev *pdev, int state)
1760{ return 0; }
7ce2e76a 1761static inline void pcie_no_aspm(void) { }
4c859804 1762static inline bool pcie_aspm_support_enabled(void) { return false; }
accd2dd7 1763static inline bool pcie_aspm_enabled(struct pci_dev *pdev) { return false; }
3e1b1600
AP
1764#endif
1765
415e12b2 1766#ifdef CONFIG_PCIEAER
415e12b2
RW
1767bool pci_aer_available(void);
1768#else
415e12b2
RW
1769static inline bool pci_aer_available(void) { return false; }
1770#endif
1771
cef74409
GK
1772bool pci_ats_disabled(void);
1773
1d71eb53
VCG
1774#ifdef CONFIG_PCIE_PTM
1775int pci_enable_ptm(struct pci_dev *dev, u8 *granularity);
e8bdc5ea 1776void pci_disable_ptm(struct pci_dev *dev);
014408cd 1777bool pcie_ptm_enabled(struct pci_dev *dev);
1d71eb53
VCG
1778#else
1779static inline int pci_enable_ptm(struct pci_dev *dev, u8 *granularity)
1780{ return -EINVAL; }
e8bdc5ea 1781static inline void pci_disable_ptm(struct pci_dev *dev) { }
014408cd
VCG
1782static inline bool pcie_ptm_enabled(struct pci_dev *dev)
1783{ return false; }
1d71eb53
VCG
1784#endif
1785
f39d5b72
BH
1786void pci_cfg_access_lock(struct pci_dev *dev);
1787bool pci_cfg_access_trylock(struct pci_dev *dev);
1788void pci_cfg_access_unlock(struct pci_dev *dev);
e04b0ea2 1789
dfd5bb23 1790void pci_dev_lock(struct pci_dev *dev);
e3a9b121
LC
1791int pci_dev_trylock(struct pci_dev *dev);
1792void pci_dev_unlock(struct pci_dev *dev);
1793
4352dfd5
GKH
1794/*
1795 * PCI domain support. Sometimes called PCI segment (eg by ACPI),
f7625980 1796 * a PCI domain is defined to be a set of PCI buses which share
4352dfd5
GKH
1797 * configuration space.
1798 */
32a2eea7
JG
1799#ifdef CONFIG_PCI_DOMAINS
1800extern int pci_domains_supported;
1801#else
1802enum { pci_domains_supported = 0 };
2ee546c4
BH
1803static inline int pci_domain_nr(struct pci_bus *bus) { return 0; }
1804static inline int pci_proc_domain(struct pci_bus *bus) { return 0; }
32a2eea7 1805#endif /* CONFIG_PCI_DOMAINS */
1da177e4 1806
670ba0c8
CM
1807/*
1808 * Generic implementation for PCI domain support. If your
1809 * architecture does not need custom management of PCI
1810 * domains then this implementation will be used
1811 */
1812#ifdef CONFIG_PCI_DOMAINS_GENERIC
1813static inline int pci_domain_nr(struct pci_bus *bus)
1814{
1815 return bus->domain_nr;
1816}
2ab51dde
TN
1817#ifdef CONFIG_ACPI
1818int acpi_pci_bus_find_domain_nr(struct pci_bus *bus);
670ba0c8 1819#else
2ab51dde
TN
1820static inline int acpi_pci_bus_find_domain_nr(struct pci_bus *bus)
1821{ return 0; }
1822#endif
9c7cb891 1823int pci_bus_find_domain_nr(struct pci_bus *bus, struct device *parent);
c14f7ccc 1824void pci_bus_release_domain_nr(struct pci_bus *bus, struct device *parent);
670ba0c8
CM
1825#endif
1826
0aa0f5d1 1827/* Some architectures require additional setup to direct VGA traffic */
95a8b6ef 1828typedef int (*arch_set_vga_state_t)(struct pci_dev *pdev, bool decode,
0aa0f5d1 1829 unsigned int command_bits, u32 flags);
f39d5b72 1830void pci_register_set_vga_state(arch_set_vga_state_t func);
95a8b6ef 1831
be9d2e89
JT
1832static inline int
1833pci_request_io_regions(struct pci_dev *pdev, const char *name)
1834{
1835 return pci_request_selected_regions(pdev,
1836 pci_select_bars(pdev, IORESOURCE_IO), name);
1837}
1838
1839static inline void
1840pci_release_io_regions(struct pci_dev *pdev)
1841{
1842 return pci_release_selected_regions(pdev,
1843 pci_select_bars(pdev, IORESOURCE_IO));
1844}
1845
1846static inline int
1847pci_request_mem_regions(struct pci_dev *pdev, const char *name)
1848{
1849 return pci_request_selected_regions(pdev,
1850 pci_select_bars(pdev, IORESOURCE_MEM), name);
1851}
1852
1853static inline void
1854pci_release_mem_regions(struct pci_dev *pdev)
1855{
1856 return pci_release_selected_regions(pdev,
1857 pci_select_bars(pdev, IORESOURCE_MEM));
1858}
1859
4352dfd5 1860#else /* CONFIG_PCI is not enabled */
1da177e4 1861
5bbe029f
BH
1862static inline void pci_set_flags(int flags) { }
1863static inline void pci_add_flags(int flags) { }
1864static inline void pci_clear_flags(int flags) { }
1865static inline int pci_has_flag(int flag) { return 0; }
1866
1da177e4 1867/*
0aa0f5d1
BH
1868 * If the system does not have PCI, clearly these return errors. Define
1869 * these as simple inline functions to avoid hair in drivers.
1da177e4 1870 */
05cca6e5
GKH
1871#define _PCI_NOP(o, s, t) \
1872 static inline int pci_##o##_config_##s(struct pci_dev *dev, \
1873 int where, t val) \
1da177e4 1874 { return PCIBIOS_FUNC_NOT_SUPPORTED; }
05cca6e5
GKH
1875
1876#define _PCI_NOP_ALL(o, x) _PCI_NOP(o, byte, u8 x) \
1877 _PCI_NOP(o, word, u16 x) \
1878 _PCI_NOP(o, dword, u32 x)
1da177e4
LT
1879_PCI_NOP_ALL(read, *)
1880_PCI_NOP_ALL(write,)
1881
d42552c3 1882static inline struct pci_dev *pci_get_device(unsigned int vendor,
05cca6e5
GKH
1883 unsigned int device,
1884 struct pci_dev *from)
2ee546c4 1885{ return NULL; }
d42552c3 1886
05cca6e5
GKH
1887static inline struct pci_dev *pci_get_subsys(unsigned int vendor,
1888 unsigned int device,
1889 unsigned int ss_vendor,
1890 unsigned int ss_device,
b08508c4 1891 struct pci_dev *from)
2ee546c4 1892{ return NULL; }
1da177e4 1893
05cca6e5
GKH
1894static inline struct pci_dev *pci_get_class(unsigned int class,
1895 struct pci_dev *from)
2ee546c4 1896{ return NULL; }
1da177e4 1897
877fee2a
HG
1898
1899static inline int pci_dev_present(const struct pci_device_id *ids)
1900{ return 0; }
1901
ed4aaadb 1902#define no_pci_devices() (1)
1da177e4
LT
1903#define pci_dev_put(dev) do { } while (0)
1904
2ee546c4
BH
1905static inline void pci_set_master(struct pci_dev *dev) { }
1906static inline int pci_enable_device(struct pci_dev *dev) { return -EIO; }
1907static inline void pci_disable_device(struct pci_dev *dev) { }
977da073 1908static inline int pcim_enable_device(struct pci_dev *pdev) { return -EIO; }
05cca6e5 1909static inline int pci_assign_resource(struct pci_dev *dev, int i)
2ee546c4 1910{ return -EBUSY; }
817f9916
AS
1911static inline int __must_check __pci_register_driver(struct pci_driver *drv,
1912 struct module *owner,
1913 const char *mod_name)
2ee546c4 1914{ return 0; }
05cca6e5 1915static inline int pci_register_driver(struct pci_driver *drv)
2ee546c4
BH
1916{ return 0; }
1917static inline void pci_unregister_driver(struct pci_driver *drv) { }
f646c2a0 1918static inline u8 pci_find_capability(struct pci_dev *dev, int cap)
2ee546c4 1919{ return 0; }
05cca6e5
GKH
1920static inline int pci_find_next_capability(struct pci_dev *dev, u8 post,
1921 int cap)
2ee546c4 1922{ return 0; }
05cca6e5 1923static inline int pci_find_ext_capability(struct pci_dev *dev, int cap)
2ee546c4 1924{ return 0; }
05cca6e5 1925
70c0923b
JK
1926static inline u64 pci_get_dsn(struct pci_dev *dev)
1927{ return 0; }
1928
1da177e4 1929/* Power management related routines */
2ee546c4
BH
1930static inline int pci_save_state(struct pci_dev *dev) { return 0; }
1931static inline void pci_restore_state(struct pci_dev *dev) { }
05cca6e5 1932static inline int pci_set_power_state(struct pci_dev *dev, pci_power_t state)
2ee546c4 1933{ return 0; }
3449248c 1934static inline int pci_wake_from_d3(struct pci_dev *dev, bool enable)
2ee546c4 1935{ return 0; }
05cca6e5
GKH
1936static inline pci_power_t pci_choose_state(struct pci_dev *dev,
1937 pm_message_t state)
2ee546c4 1938{ return PCI_D0; }
05cca6e5
GKH
1939static inline int pci_enable_wake(struct pci_dev *dev, pci_power_t state,
1940 int enable)
2ee546c4 1941{ return 0; }
48a92a81 1942
afd29f90
MW
1943static inline struct resource *pci_find_resource(struct pci_dev *dev,
1944 struct resource *res)
1945{ return NULL; }
05cca6e5 1946static inline int pci_request_regions(struct pci_dev *dev, const char *res_name)
2ee546c4
BH
1947{ return -EIO; }
1948static inline void pci_release_regions(struct pci_dev *dev) { }
0da0ead9 1949
00dcc7cf
RH
1950static inline int pci_register_io_range(struct fwnode_handle *fwnode,
1951 phys_addr_t addr, resource_size_t size)
1952{ return -EINVAL; }
1953
c5076cfe
TN
1954static inline unsigned long pci_address_to_pio(phys_addr_t addr) { return -1; }
1955
d80d0217
RD
1956static inline struct pci_bus *pci_find_next_bus(const struct pci_bus *from)
1957{ return NULL; }
d80d0217
RD
1958static inline struct pci_dev *pci_get_slot(struct pci_bus *bus,
1959 unsigned int devfn)
1960{ return NULL; }
7912af5c
RD
1961static inline struct pci_dev *pci_get_domain_bus_and_slot(int domain,
1962 unsigned int bus, unsigned int devfn)
1963{ return NULL; }
d80d0217 1964
2ee546c4
BH
1965static inline int pci_domain_nr(struct pci_bus *bus) { return 0; }
1966static inline struct pci_dev *pci_dev_get(struct pci_dev *dev) { return NULL; }
12ea6cad 1967
fb8a0d9d
WM
1968#define dev_is_pci(d) (false)
1969#define dev_is_pf(d) (false)
fe594932
GU
1970static inline bool pci_acs_enabled(struct pci_dev *pdev, u16 acs_flags)
1971{ return false; }
80db6f08
NC
1972static inline int pci_irqd_intx_xlate(struct irq_domain *d,
1973 struct device_node *node,
1974 const u32 *intspec,
1975 unsigned int intsize,
1976 unsigned long *out_hwirq,
1977 unsigned int *out_type)
1978{ return -EINVAL; }
9c212009
LR
1979
1980static inline const struct pci_device_id *pci_match_id(const struct pci_device_id *ids,
1981 struct pci_dev *dev)
1982{ return NULL; }
b9ae16d8 1983static inline bool pci_ats_disabled(void) { return true; }
0d8006dd
HX
1984
1985static inline int pci_irq_vector(struct pci_dev *dev, unsigned int nr)
1986{
1987 return -EINVAL;
1988}
1989
1990static inline int
1991pci_alloc_irq_vectors_affinity(struct pci_dev *dev, unsigned int min_vecs,
1992 unsigned int max_vecs, unsigned int flags,
1993 struct irq_affinity *aff_desc)
1994{
1995 return -ENOSPC;
1996}
0d8006dd
HX
1997static inline int
1998pci_alloc_irq_vectors(struct pci_dev *dev, unsigned int min_vecs,
1999 unsigned int max_vecs, unsigned int flags)
2000{
5c0997dc 2001 return -ENOSPC;
0d8006dd 2002}
5c0997dc 2003#endif /* CONFIG_PCI */
0d8006dd 2004
4352dfd5
GKH
2005/* Include architecture-dependent settings and functions */
2006
2007#include <asm/pci.h>
1da177e4 2008
0ad722f1 2009/*
f7195824
DW
2010 * pci_mmap_resource_range() maps a specific BAR, and vm->vm_pgoff
2011 * is expected to be an offset within that region.
2012 *
f7195824
DW
2013 */
2014int pci_mmap_resource_range(struct pci_dev *dev, int bar,
2015 struct vm_area_struct *vma,
2016 enum pci_mmap_state mmap_state, int write_combine);
11df1954 2017
ae749c7a
DW
2018#ifndef arch_can_pci_mmap_wc
2019#define arch_can_pci_mmap_wc() 0
2020#endif
2bea36fd 2021
e854d8b2
DW
2022#ifndef arch_can_pci_mmap_io
2023#define arch_can_pci_mmap_io() 0
2bea36fd
DW
2024#define pci_iobar_pfn(pdev, bar, vma) (-EINVAL)
2025#else
2026int pci_iobar_pfn(struct pci_dev *pdev, int bar, struct vm_area_struct *vma);
e854d8b2 2027#endif
ae749c7a 2028
92016ba5
JO
2029#ifndef pci_root_bus_fwnode
2030#define pci_root_bus_fwnode(bus) NULL
2031#endif
2032
0aa0f5d1
BH
2033/*
2034 * These helpers provide future and backwards compatibility
2035 * for accessing popular PCI BAR info
2036 */
144d204d
AS
2037#define pci_resource_n(dev, bar) (&(dev)->resource[(bar)])
2038#define pci_resource_start(dev, bar) (pci_resource_n(dev, bar)->start)
2039#define pci_resource_end(dev, bar) (pci_resource_n(dev, bar)->end)
2040#define pci_resource_flags(dev, bar) (pci_resource_n(dev, bar)->flags)
2041#define pci_resource_len(dev,bar) \
2042 (pci_resource_end((dev), (bar)) ? \
2043 resource_size(pci_resource_n((dev), (bar))) : 0)
1da177e4 2044
09cc9006
MW
2045#define __pci_dev_for_each_res0(dev, res, ...) \
2046 for (unsigned int __b = 0; \
2047 res = pci_resource_n(dev, __b), __b < PCI_NUM_RESOURCES; \
2048 __b++)
2049
2050#define __pci_dev_for_each_res1(dev, res, __b) \
2051 for (__b = 0; \
2052 res = pci_resource_n(dev, __b), __b < PCI_NUM_RESOURCES; \
2053 __b++)
2054
2055#define pci_dev_for_each_resource(dev, res, ...) \
2056 CONCATENATE(__pci_dev_for_each_res, COUNT_ARGS(__VA_ARGS__)) \
2057 (dev, res, __VA_ARGS__)
1da177e4 2058
0aa0f5d1
BH
2059/*
2060 * Similar to the helpers above, these manipulate per-pci_dev
1da177e4
LT
2061 * driver-specific data. They are really just a wrapper around
2062 * the generic device structure functions of these calls.
2063 */
05cca6e5 2064static inline void *pci_get_drvdata(struct pci_dev *pdev)
1da177e4
LT
2065{
2066 return dev_get_drvdata(&pdev->dev);
2067}
2068
05cca6e5 2069static inline void pci_set_drvdata(struct pci_dev *pdev, void *data)
1da177e4
LT
2070{
2071 dev_set_drvdata(&pdev->dev, data);
2072}
2073
2fc90f61 2074static inline const char *pci_name(const struct pci_dev *pdev)
1da177e4 2075{
c6c4f070 2076 return dev_name(&pdev->dev);
1da177e4
LT
2077}
2078
8221a013
BH
2079void pci_resource_to_user(const struct pci_dev *dev, int bar,
2080 const struct resource *rsrc,
2081 resource_size_t *start, resource_size_t *end);
2311b1f2 2082
1da177e4 2083/*
0aa0f5d1
BH
2084 * The world is not perfect and supplies us with broken PCI devices.
2085 * For at least a part of these bugs we need a work-around, so both
2086 * generic (drivers/pci/quirks.c) and per-architecture code can define
2087 * fixup hooks to be called for particular buggy devices.
1da177e4
LT
2088 */
2089
2090struct pci_fixup {
0aa0f5d1
BH
2091 u16 vendor; /* Or PCI_ANY_ID */
2092 u16 device; /* Or PCI_ANY_ID */
2093 u32 class; /* Or PCI_ANY_ID */
f4ca5c6a 2094 unsigned int class_shift; /* should be 0, 8, 16 */
c9d8b55f
AB
2095#ifdef CONFIG_HAVE_ARCH_PREL32_RELOCATIONS
2096 int hook_offset;
2097#else
1da177e4 2098 void (*hook)(struct pci_dev *dev);
c9d8b55f 2099#endif
1da177e4
LT
2100};
2101
2102enum pci_fixup_pass {
2103 pci_fixup_early, /* Before probing BARs */
2104 pci_fixup_header, /* After reading configuration header */
2105 pci_fixup_final, /* Final phase of device fixups */
2106 pci_fixup_enable, /* pci_enable_device() time */
e1a2a51e 2107 pci_fixup_resume, /* pci_device_resume() */
7d2a01b8 2108 pci_fixup_suspend, /* pci_device_suspend() */
e1a2a51e 2109 pci_fixup_resume_early, /* pci_device_resume_early() */
7d2a01b8 2110 pci_fixup_suspend_late, /* pci_device_suspend_late() */
1da177e4
LT
2111};
2112
c9d8b55f 2113#ifdef CONFIG_HAVE_ARCH_PREL32_RELOCATIONS
09a4e4d9 2114#define ___DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
c9d8b55f
AB
2115 class_shift, hook) \
2116 __ADDRESSABLE(hook) \
2117 asm(".section " #sec ", \"a\" \n" \
2118 ".balign 16 \n" \
2119 ".short " #vendor ", " #device " \n" \
2120 ".long " #class ", " #class_shift " \n" \
2121 ".long " #hook " - . \n" \
2122 ".previous \n");
09a4e4d9
ST
2123
2124/*
2125 * Clang's LTO may rename static functions in C, but has no way to
2126 * handle such renamings when referenced from inline asm. To work
2127 * around this, create global C stubs for these cases.
2128 */
2129#ifdef CONFIG_LTO_CLANG
2130#define __DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
2131 class_shift, hook, stub) \
5659b598
ST
2132 void stub(struct pci_dev *dev); \
2133 void stub(struct pci_dev *dev) \
09a4e4d9
ST
2134 { \
2135 hook(dev); \
2136 } \
2137 ___DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
2138 class_shift, stub)
2139#else
2140#define __DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
2141 class_shift, hook, stub) \
2142 ___DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
2143 class_shift, hook)
2144#endif
2145
c9d8b55f
AB
2146#define DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
2147 class_shift, hook) \
2148 __DECLARE_PCI_FIXUP_SECTION(sec, name, vendor, device, class, \
09a4e4d9 2149 class_shift, hook, __UNIQUE_ID(hook))
c9d8b55f 2150#else
1da177e4 2151/* Anonymous variables would be nice... */
f4ca5c6a
YL
2152#define DECLARE_PCI_FIXUP_SECTION(section, name, vendor, device, class, \
2153 class_shift, hook) \
ecf61c78 2154 static const struct pci_fixup __PASTE(__pci_fixup_##name,__LINE__) __used \
f4ca5c6a
YL
2155 __attribute__((__section__(#section), aligned((sizeof(void *))))) \
2156 = { vendor, device, class, class_shift, hook };
c9d8b55f 2157#endif
f4ca5c6a
YL
2158
2159#define DECLARE_PCI_FIXUP_CLASS_EARLY(vendor, device, class, \
2160 class_shift, hook) \
2161 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_early, \
ecf61c78 2162 hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2163#define DECLARE_PCI_FIXUP_CLASS_HEADER(vendor, device, class, \
2164 class_shift, hook) \
2165 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_header, \
ecf61c78 2166 hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2167#define DECLARE_PCI_FIXUP_CLASS_FINAL(vendor, device, class, \
2168 class_shift, hook) \
2169 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_final, \
ecf61c78 2170 hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2171#define DECLARE_PCI_FIXUP_CLASS_ENABLE(vendor, device, class, \
2172 class_shift, hook) \
2173 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_enable, \
ecf61c78 2174 hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2175#define DECLARE_PCI_FIXUP_CLASS_RESUME(vendor, device, class, \
2176 class_shift, hook) \
2177 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_resume, \
0aa0f5d1 2178 resume##hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2179#define DECLARE_PCI_FIXUP_CLASS_RESUME_EARLY(vendor, device, class, \
2180 class_shift, hook) \
2181 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_resume_early, \
0aa0f5d1 2182 resume_early##hook, vendor, device, class, class_shift, hook)
f4ca5c6a
YL
2183#define DECLARE_PCI_FIXUP_CLASS_SUSPEND(vendor, device, class, \
2184 class_shift, hook) \
2185 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_suspend, \
0aa0f5d1 2186 suspend##hook, vendor, device, class, class_shift, hook)
7d2a01b8
AN
2187#define DECLARE_PCI_FIXUP_CLASS_SUSPEND_LATE(vendor, device, class, \
2188 class_shift, hook) \
2189 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_suspend_late, \
0aa0f5d1 2190 suspend_late##hook, vendor, device, class, class_shift, hook)
f4ca5c6a 2191
1da177e4
LT
2192#define DECLARE_PCI_FIXUP_EARLY(vendor, device, hook) \
2193 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_early, \
ecf61c78 2194 hook, vendor, device, PCI_ANY_ID, 0, hook)
1da177e4
LT
2195#define DECLARE_PCI_FIXUP_HEADER(vendor, device, hook) \
2196 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_header, \
ecf61c78 2197 hook, vendor, device, PCI_ANY_ID, 0, hook)
1da177e4
LT
2198#define DECLARE_PCI_FIXUP_FINAL(vendor, device, hook) \
2199 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_final, \
ecf61c78 2200 hook, vendor, device, PCI_ANY_ID, 0, hook)
1da177e4
LT
2201#define DECLARE_PCI_FIXUP_ENABLE(vendor, device, hook) \
2202 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_enable, \
ecf61c78 2203 hook, vendor, device, PCI_ANY_ID, 0, hook)
1597cacb
AC
2204#define DECLARE_PCI_FIXUP_RESUME(vendor, device, hook) \
2205 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_resume, \
0aa0f5d1 2206 resume##hook, vendor, device, PCI_ANY_ID, 0, hook)
e1a2a51e
RW
2207#define DECLARE_PCI_FIXUP_RESUME_EARLY(vendor, device, hook) \
2208 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_resume_early, \
0aa0f5d1 2209 resume_early##hook, vendor, device, PCI_ANY_ID, 0, hook)
e1a2a51e
RW
2210#define DECLARE_PCI_FIXUP_SUSPEND(vendor, device, hook) \
2211 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_suspend, \
0aa0f5d1 2212 suspend##hook, vendor, device, PCI_ANY_ID, 0, hook)
7d2a01b8
AN
2213#define DECLARE_PCI_FIXUP_SUSPEND_LATE(vendor, device, hook) \
2214 DECLARE_PCI_FIXUP_SECTION(.pci_fixup_suspend_late, \
0aa0f5d1 2215 suspend_late##hook, vendor, device, PCI_ANY_ID, 0, hook)
1da177e4 2216
93177a74 2217#ifdef CONFIG_PCI_QUIRKS
1da177e4 2218void pci_fixup_device(enum pci_fixup_pass pass, struct pci_dev *dev);
93177a74
RW
2219#else
2220static inline void pci_fixup_device(enum pci_fixup_pass pass,
2ee546c4 2221 struct pci_dev *dev) { }
93177a74 2222#endif
1da177e4 2223
05cca6e5 2224void __iomem *pcim_iomap(struct pci_dev *pdev, int bar, unsigned long maxlen);
5ea81769 2225void pcim_iounmap(struct pci_dev *pdev, void __iomem *addr);
05cca6e5 2226void __iomem * const *pcim_iomap_table(struct pci_dev *pdev);
fb7ebfe4
YL
2227int pcim_iomap_regions(struct pci_dev *pdev, int mask, const char *name);
2228int pcim_iomap_regions_request_all(struct pci_dev *pdev, int mask,
916fbfb7 2229 const char *name);
fb7ebfe4 2230void pcim_iounmap_regions(struct pci_dev *pdev, int mask);
5ea81769 2231
1da177e4 2232extern int pci_pci_problems;
236561e5 2233#define PCIPCI_FAIL 1 /* No PCI PCI DMA */
1da177e4
LT
2234#define PCIPCI_TRITON 2
2235#define PCIPCI_NATOMA 4
2236#define PCIPCI_VIAETBF 8
2237#define PCIPCI_VSFX 16
236561e5
AC
2238#define PCIPCI_ALIMAGIK 32 /* Need low latency setting */
2239#define PCIAGP_FAIL 64 /* No PCI to AGP DMA */
1da177e4 2240
4516a618
AN
2241extern unsigned long pci_cardbus_io_size;
2242extern unsigned long pci_cardbus_mem_size;
15856ad5 2243extern u8 pci_dfl_cache_line_size;
ac1aa47b 2244extern u8 pci_cache_line_size;
4516a618 2245
f7625980 2246/* Architecture-specific versions may override these (weak) */
19792a08 2247void pcibios_disable_device(struct pci_dev *dev);
cfce9fb8 2248void pcibios_set_master(struct pci_dev *dev);
19792a08
AB
2249int pcibios_set_pcie_reset_state(struct pci_dev *dev,
2250 enum pcie_reset_state state);
06dc660e 2251int pcibios_device_add(struct pci_dev *dev);
6ae32c53 2252void pcibios_release_device(struct pci_dev *dev);
5d32a665 2253#ifdef CONFIG_PCI
a43ae58c 2254void pcibios_penalize_isa_irq(int irq, int active);
5d32a665
SK
2255#else
2256static inline void pcibios_penalize_isa_irq(int irq, int active) {}
2257#endif
890e4847
JL
2258int pcibios_alloc_irq(struct pci_dev *dev);
2259void pcibios_free_irq(struct pci_dev *dev);
619e6f34 2260resource_size_t pcibios_default_alignment(void);
575e3348 2261
935c760e 2262#if defined(CONFIG_PCI_MMCONFIG) || defined(CONFIG_ACPI_MCFG)
f39d5b72
BH
2263void __init pci_mmcfg_early_init(void);
2264void __init pci_mmcfg_late_init(void);
7752d5cf 2265#else
bb63b421 2266static inline void pci_mmcfg_early_init(void) { }
7752d5cf
RH
2267static inline void pci_mmcfg_late_init(void) { }
2268#endif
2269
642c92da 2270int pci_ext_cfg_avail(void);
0ef5f8f6 2271
1684f5dd 2272void __iomem *pci_ioremap_bar(struct pci_dev *pdev, int bar);
c43996f4 2273void __iomem *pci_ioremap_wc_bar(struct pci_dev *pdev, int bar);
aa42d7c6 2274
dd7cc44d 2275#ifdef CONFIG_PCI_IOV
b07579c0
WY
2276int pci_iov_virtfn_bus(struct pci_dev *dev, int id);
2277int pci_iov_virtfn_devfn(struct pci_dev *dev, int id);
21ca9fb6 2278int pci_iov_vf_id(struct pci_dev *dev);
a7e9f240 2279void *pci_iov_get_pf_drvdata(struct pci_dev *dev, struct pci_driver *pf_driver);
f39d5b72
BH
2280int pci_enable_sriov(struct pci_dev *dev, int nr_virtfn);
2281void pci_disable_sriov(struct pci_dev *dev);
a1ceea67
NS
2282
2283int pci_iov_sysfs_link(struct pci_dev *dev, struct pci_dev *virtfn, int id);
753f6124
JS
2284int pci_iov_add_virtfn(struct pci_dev *dev, int id);
2285void pci_iov_remove_virtfn(struct pci_dev *dev, int id);
f39d5b72 2286int pci_num_vf(struct pci_dev *dev);
5a8eb242 2287int pci_vfs_assigned(struct pci_dev *dev);
f39d5b72
BH
2288int pci_sriov_set_totalvfs(struct pci_dev *dev, u16 numvfs);
2289int pci_sriov_get_totalvfs(struct pci_dev *dev);
8effc395 2290int pci_sriov_configure_simple(struct pci_dev *dev, int nr_virtfn);
0e6c9122 2291resource_size_t pci_iov_resource_size(struct pci_dev *dev, int resno);
608c0d88 2292void pci_vf_drivers_autoprobe(struct pci_dev *dev, bool probe);
619e6f34
MM
2293
2294/* Arch may override these (weak) */
2295int pcibios_sriov_enable(struct pci_dev *pdev, u16 num_vfs);
2296int pcibios_sriov_disable(struct pci_dev *pdev);
2297resource_size_t pcibios_iov_resource_alignment(struct pci_dev *dev, int resno);
dd7cc44d 2298#else
b07579c0
WY
2299static inline int pci_iov_virtfn_bus(struct pci_dev *dev, int id)
2300{
2301 return -ENOSYS;
2302}
2303static inline int pci_iov_virtfn_devfn(struct pci_dev *dev, int id)
2304{
2305 return -ENOSYS;
2306}
21ca9fb6
JG
2307
2308static inline int pci_iov_vf_id(struct pci_dev *dev)
2309{
2310 return -ENOSYS;
2311}
2312
a7e9f240
JG
2313static inline void *pci_iov_get_pf_drvdata(struct pci_dev *dev,
2314 struct pci_driver *pf_driver)
2315{
2316 return ERR_PTR(-EINVAL);
2317}
2318
dd7cc44d 2319static inline int pci_enable_sriov(struct pci_dev *dev, int nr_virtfn)
2ee546c4 2320{ return -ENODEV; }
a1ceea67
NS
2321
2322static inline int pci_iov_sysfs_link(struct pci_dev *dev,
2323 struct pci_dev *virtfn, int id)
2324{
2325 return -ENODEV;
2326}
753f6124 2327static inline int pci_iov_add_virtfn(struct pci_dev *dev, int id)
c194f7ea
WY
2328{
2329 return -ENOSYS;
2330}
2331static inline void pci_iov_remove_virtfn(struct pci_dev *dev,
753f6124 2332 int id) { }
2ee546c4 2333static inline void pci_disable_sriov(struct pci_dev *dev) { }
2ee546c4 2334static inline int pci_num_vf(struct pci_dev *dev) { return 0; }
5a8eb242 2335static inline int pci_vfs_assigned(struct pci_dev *dev)
2ee546c4 2336{ return 0; }
bff73156 2337static inline int pci_sriov_set_totalvfs(struct pci_dev *dev, u16 numvfs)
2ee546c4 2338{ return 0; }
bff73156 2339static inline int pci_sriov_get_totalvfs(struct pci_dev *dev)
2ee546c4 2340{ return 0; }
8effc395 2341#define pci_sriov_configure_simple NULL
0e6c9122
WY
2342static inline resource_size_t pci_iov_resource_size(struct pci_dev *dev, int resno)
2343{ return 0; }
608c0d88 2344static inline void pci_vf_drivers_autoprobe(struct pci_dev *dev, bool probe) { }
dd7cc44d
YZ
2345#endif
2346
c825bc94 2347#if defined(CONFIG_HOTPLUG_PCI) || defined(CONFIG_HOTPLUG_PCI_MODULE)
f39d5b72
BH
2348void pci_hp_create_module_link(struct pci_slot *pci_slot);
2349void pci_hp_remove_module_link(struct pci_slot *pci_slot);
c825bc94
KK
2350#endif
2351
d7b7e605
KK
2352/**
2353 * pci_pcie_cap - get the saved PCIe capability offset
2354 * @dev: PCI device
2355 *
2356 * PCIe capability offset is calculated at PCI device initialization
2357 * time and saved in the data structure. This function returns saved
2358 * PCIe capability offset. Using this instead of pci_find_capability()
2359 * reduces unnecessary search in the PCI configuration space. If you
2360 * need to calculate PCIe capability offset from raw device for some
2361 * reasons, please use pci_find_capability() instead.
2362 */
2363static inline int pci_pcie_cap(struct pci_dev *dev)
2364{
2365 return dev->pcie_cap;
2366}
2367
7eb776c4
KK
2368/**
2369 * pci_is_pcie - check if the PCI device is PCI Express capable
2370 * @dev: PCI device
2371 *
a895c28a 2372 * Returns: true if the PCI device is PCI Express capable, false otherwise.
7eb776c4
KK
2373 */
2374static inline bool pci_is_pcie(struct pci_dev *dev)
2375{
a895c28a 2376 return pci_pcie_cap(dev);
7eb776c4
KK
2377}
2378
7c9c003c
MS
2379/**
2380 * pcie_caps_reg - get the PCIe Capabilities Register
2381 * @dev: PCI device
2382 */
2383static inline u16 pcie_caps_reg(const struct pci_dev *dev)
2384{
2385 return dev->pcie_flags_reg;
2386}
2387
786e2288
YW
2388/**
2389 * pci_pcie_type - get the PCIe device/port type
2390 * @dev: PCI device
2391 */
2392static inline int pci_pcie_type(const struct pci_dev *dev)
2393{
1c531d82 2394 return (pcie_caps_reg(dev) & PCI_EXP_FLAGS_TYPE) >> 4;
786e2288
YW
2395}
2396
6ae72bfa
YY
2397/**
2398 * pcie_find_root_port - Get the PCIe root port device
2399 * @dev: PCI device
2400 *
2401 * Traverse up the parent chain and return the PCIe Root Port PCI Device
2402 * for a given PCI/PCIe Device.
2403 */
e784930b
JT
2404static inline struct pci_dev *pcie_find_root_port(struct pci_dev *dev)
2405{
5396956c
MW
2406 while (dev) {
2407 if (pci_is_pcie(dev) &&
2408 pci_pcie_type(dev) == PCI_EXP_TYPE_ROOT_PORT)
2409 return dev;
2410 dev = pci_upstream_bridge(dev);
e784930b 2411 }
6ae72bfa 2412
e784930b
JT
2413 return NULL;
2414}
2415
5d990b62 2416void pci_request_acs(void);
ad805758
AW
2417bool pci_acs_enabled(struct pci_dev *pdev, u16 acs_flags);
2418bool pci_acs_path_enabled(struct pci_dev *start,
2419 struct pci_dev *end, u16 acs_flags);
430a2368 2420int pci_enable_atomic_ops_to_root(struct pci_dev *dev, u32 cap_mask);
a2ce7662 2421
7ad506fa 2422#define PCI_VPD_LRDT 0x80 /* Large Resource Data Type */
63ddc0b8 2423#define PCI_VPD_LRDT_ID(x) ((x) | PCI_VPD_LRDT)
7ad506fa
MC
2424
2425/* Large Resource Data Type Tag Item Names */
2426#define PCI_VPD_LTIN_ID_STRING 0x02 /* Identifier String */
2427#define PCI_VPD_LTIN_RO_DATA 0x10 /* Read-Only Data */
2428#define PCI_VPD_LTIN_RW_DATA 0x11 /* Read-Write Data */
2429
2430#define PCI_VPD_LRDT_ID_STRING PCI_VPD_LRDT_ID(PCI_VPD_LTIN_ID_STRING)
2431#define PCI_VPD_LRDT_RO_DATA PCI_VPD_LRDT_ID(PCI_VPD_LTIN_RO_DATA)
2432#define PCI_VPD_LRDT_RW_DATA PCI_VPD_LRDT_ID(PCI_VPD_LTIN_RW_DATA)
2433
4067a854 2434#define PCI_VPD_RO_KEYWORD_PARTNO "PN"
16efafa3 2435#define PCI_VPD_RO_KEYWORD_SERIALNO "SN"
4067a854
MC
2436#define PCI_VPD_RO_KEYWORD_MFR_ID "MN"
2437#define PCI_VPD_RO_KEYWORD_VENDOR0 "V0"
d4894f3e 2438#define PCI_VPD_RO_KEYWORD_CHKSUM "RV"
4067a854 2439
a2ce7662 2440/**
76f3c032
HK
2441 * pci_vpd_alloc - Allocate buffer and read VPD into it
2442 * @dev: PCI device
2443 * @size: pointer to field where VPD length is returned
9eb45d5c 2444 *
76f3c032 2445 * Returns pointer to allocated buffer or an ERR_PTR in case of failure
9eb45d5c 2446 */
76f3c032 2447void *pci_vpd_alloc(struct pci_dev *dev, unsigned int *size);
9eb45d5c 2448
e1d5bdab 2449/**
acfbb1b8
HK
2450 * pci_vpd_find_id_string - Locate id string in VPD
2451 * @buf: Pointer to buffered VPD data
2452 * @len: The length of the buffer area in which to search
2453 * @size: Pointer to field where length of id string is returned
e1d5bdab 2454 *
acfbb1b8 2455 * Returns the index of the id string or -ENOENT if not found.
e1d5bdab 2456 */
acfbb1b8 2457int pci_vpd_find_id_string(const u8 *buf, unsigned int len, unsigned int *size);
e1d5bdab 2458
b55ac1b2 2459/**
9e515c9f
HK
2460 * pci_vpd_find_ro_info_keyword - Locate info field keyword in VPD RO section
2461 * @buf: Pointer to buffered VPD data
2462 * @len: The length of the buffer area in which to search
2463 * @kw: The keyword to search for
2464 * @size: Pointer to field where length of found keyword data is returned
b55ac1b2 2465 *
9e515c9f
HK
2466 * Returns the index of the information field keyword data or -ENOENT if
2467 * not found.
b55ac1b2 2468 */
9e515c9f
HK
2469int pci_vpd_find_ro_info_keyword(const void *buf, unsigned int len,
2470 const char *kw, unsigned int *size);
b55ac1b2 2471
4067a854 2472/**
6107e5cb
HK
2473 * pci_vpd_check_csum - Check VPD checksum
2474 * @buf: Pointer to buffered VPD data
2475 * @len: VPD size
4067a854 2476 *
6107e5cb 2477 * Returns 1 if VPD has no checksum, otherwise 0 or an errno
4067a854 2478 */
6107e5cb 2479int pci_vpd_check_csum(const void *buf, unsigned int len);
4067a854 2480
98d9f30c
BH
2481/* PCI <-> OF binding helpers */
2482#ifdef CONFIG_OF
2483struct device_node;
b165e2b6 2484struct irq_domain;
b165e2b6 2485struct irq_domain *pci_host_bridge_of_msi_domain(struct pci_bus *bus);
85aabbd7 2486bool pci_host_of_has_msi_map(struct device *dev);
98d9f30c
BH
2487
2488/* Arch may override this (weak) */
723ec4d0 2489struct device_node *pcibios_get_phb_of_node(struct pci_bus *bus);
98d9f30c 2490
0aa0f5d1 2491#else /* CONFIG_OF */
b165e2b6
MZ
2492static inline struct irq_domain *
2493pci_host_bridge_of_msi_domain(struct pci_bus *bus) { return NULL; }
85aabbd7 2494static inline bool pci_host_of_has_msi_map(struct device *dev) { return false; }
98d9f30c
BH
2495#endif /* CONFIG_OF */
2496
ad32eb2d
BM
2497static inline struct device_node *
2498pci_device_to_OF_node(const struct pci_dev *pdev)
2499{
2500 return pdev ? pdev->dev.of_node : NULL;
2501}
2502
2503static inline struct device_node *pci_bus_to_OF_node(struct pci_bus *bus)
2504{
2505 return bus ? bus->dev.of_node : NULL;
2506}
2507
471036b2
SS
2508#ifdef CONFIG_ACPI
2509struct irq_domain *pci_host_bridge_acpi_msi_domain(struct pci_bus *bus);
2510
2511void
2512pci_msi_register_fwnode_provider(struct fwnode_handle *(*fn)(struct device *));
52525b7a 2513bool pci_pr3_present(struct pci_dev *pdev);
471036b2
SS
2514#else
2515static inline struct irq_domain *
2516pci_host_bridge_acpi_msi_domain(struct pci_bus *bus) { return NULL; }
46b4bff6 2517static inline bool pci_pr3_present(struct pci_dev *pdev) { return false; }
471036b2
SS
2518#endif
2519
eb740b5f
GS
2520#ifdef CONFIG_EEH
2521static inline struct eeh_dev *pci_dev_to_eeh_dev(struct pci_dev *pdev)
2522{
2523 return pdev->dev.archdata.edev;
2524}
2525#endif
2526
09298542 2527void pci_add_dma_alias(struct pci_dev *dev, u8 devfn_from, unsigned nr_devfns);
338c3149 2528bool pci_devs_are_dma_aliases(struct pci_dev *dev1, struct pci_dev *dev2);
c25dc828
AW
2529int pci_for_each_dma_alias(struct pci_dev *pdev,
2530 int (*fn)(struct pci_dev *pdev,
2531 u16 alias, void *data), void *data);
2532
0aa0f5d1 2533/* Helper functions for operation of device flag */
ce052984
EZ
2534static inline void pci_set_dev_assigned(struct pci_dev *pdev)
2535{
2536 pdev->dev_flags |= PCI_DEV_FLAGS_ASSIGNED;
2537}
2538static inline void pci_clear_dev_assigned(struct pci_dev *pdev)
2539{
2540 pdev->dev_flags &= ~PCI_DEV_FLAGS_ASSIGNED;
2541}
2542static inline bool pci_is_dev_assigned(struct pci_dev *pdev)
2543{
2544 return (pdev->dev_flags & PCI_DEV_FLAGS_ASSIGNED) == PCI_DEV_FLAGS_ASSIGNED;
2545}
19bdb6e4
AW
2546
2547/**
2548 * pci_ari_enabled - query ARI forwarding status
2549 * @bus: the PCI bus
2550 *
2551 * Returns true if ARI forwarding is enabled.
2552 */
2553static inline bool pci_ari_enabled(struct pci_bus *bus)
2554{
2555 return bus->self && bus->self->ari_enabled;
2556}
bc4b024a 2557
8531e283
LW
2558/**
2559 * pci_is_thunderbolt_attached - whether device is on a Thunderbolt daisy chain
2560 * @pdev: PCI device to check
2561 *
2562 * Walk upwards from @pdev and check for each encountered bridge if it's part
2563 * of a Thunderbolt controller. Reaching the host bridge means @pdev is not
2564 * Thunderbolt-attached. (But rather soldered to the mainboard usually.)
2565 */
2566static inline bool pci_is_thunderbolt_attached(struct pci_dev *pdev)
2567{
2568 struct pci_dev *parent = pdev;
2569
2570 if (pdev->is_thunderbolt)
2571 return true;
2572
2573 while ((parent = pci_upstream_bridge(parent)))
2574 if (parent->is_thunderbolt)
2575 return true;
2576
2577 return false;
2578}
2579
2e28bc84 2580#if defined(CONFIG_PCIEPORTBUS) || defined(CONFIG_EEH)
3ecac020
ME
2581void pci_uevent_ers(struct pci_dev *pdev, enum pci_ers_result err_type);
2582#endif
856e1eb9 2583
0194425a
TG
2584struct msi_domain_template;
2585
2586bool pci_create_ims_domain(struct pci_dev *pdev, const struct msi_domain_template *template,
2587 unsigned int hwsize, void *data);
c9e5bea2
TG
2588struct msi_map pci_ims_alloc_irq(struct pci_dev *pdev, union msi_instance_cookie *icookie,
2589 const struct irq_affinity_desc *affdesc);
2590void pci_ims_free_irq(struct pci_dev *pdev, struct msi_map map);
0194425a 2591
79687789 2592#include <linux/dma-mapping.h>
bc4b024a 2593
7506dc79
FL
2594#define pci_printk(level, pdev, fmt, arg...) \
2595 dev_printk(level, &(pdev)->dev, fmt, ##arg)
2596
2597#define pci_emerg(pdev, fmt, arg...) dev_emerg(&(pdev)->dev, fmt, ##arg)
2598#define pci_alert(pdev, fmt, arg...) dev_alert(&(pdev)->dev, fmt, ##arg)
2599#define pci_crit(pdev, fmt, arg...) dev_crit(&(pdev)->dev, fmt, ##arg)
2600#define pci_err(pdev, fmt, arg...) dev_err(&(pdev)->dev, fmt, ##arg)
2601#define pci_warn(pdev, fmt, arg...) dev_warn(&(pdev)->dev, fmt, ##arg)
27829479 2602#define pci_warn_once(pdev, fmt, arg...) dev_warn_once(&(pdev)->dev, fmt, ##arg)
7506dc79
FL
2603#define pci_notice(pdev, fmt, arg...) dev_notice(&(pdev)->dev, fmt, ##arg)
2604#define pci_info(pdev, fmt, arg...) dev_info(&(pdev)->dev, fmt, ##arg)
2605#define pci_dbg(pdev, fmt, arg...) dev_dbg(&(pdev)->dev, fmt, ##arg)
2606
a88a7b3e
BH
2607#define pci_notice_ratelimited(pdev, fmt, arg...) \
2608 dev_notice_ratelimited(&(pdev)->dev, fmt, ##arg)
2609
7f1c62c4
KW
2610#define pci_info_ratelimited(pdev, fmt, arg...) \
2611 dev_info_ratelimited(&(pdev)->dev, fmt, ##arg)
2612
12bcae44
BH
2613#define pci_WARN(pdev, condition, fmt, arg...) \
2614 WARN(condition, "%s %s: " fmt, \
2615 dev_driver_string(&(pdev)->dev), pci_name(pdev), ##arg)
2616
2617#define pci_WARN_ONCE(pdev, condition, fmt, arg...) \
2618 WARN_ONCE(condition, "%s %s: " fmt, \
2619 dev_driver_string(&(pdev)->dev), pci_name(pdev), ##arg)
2620
1da177e4 2621#endif /* LINUX_PCI_H */