Merge tag 'Wimplicit-fallthrough-5.2-rc1' of git://git.kernel.org/pub/scm/linux/kerne...
[linux-2.6-block.git] / drivers / staging / pi433 / rf69_enum.h
CommitLineData
ecfacacf
VV
1/* SPDX-License-Identifier: GPL-2.0+
2 *
874bcba6
MW
3 * enumerations for HopeRf rf69 radio module
4 *
5 * Copyright (C) 2016 Wolf-Entwicklungen
6 * Marcus Wolf <linux@wolf-entwicklungen.de>
874bcba6
MW
7 */
8
9#ifndef RF69_ENUM_H
10#define RF69_ENUM_H
11
8d05bce2 12enum mode {
21a97589
SS
13 mode_sleep,
14 standby,
15 synthesizer,
16 transmit,
17 receive
874bcba6
MW
18};
19
8d05bce2 20enum modulation {
21a97589 21 OOK,
1c12da35
MC
22 FSK,
23 UNDEF
874bcba6
MW
24};
25
494b11b6
SS
26enum mod_shaping {
27 SHAPING_OFF,
28 SHAPING_1_0,
29 SHAPING_0_5,
30 SHAPING_0_3,
31 SHAPING_BR,
32 SHAPING_2BR
874bcba6
MW
33};
34
a9f83a65 35enum pa_ramp {
21a97589
SS
36 ramp3400,
37 ramp2000,
38 ramp1000,
39 ramp500,
40 ramp250,
41 ramp125,
42 ramp100,
43 ramp62,
44 ramp50,
45 ramp40,
46 ramp31,
47 ramp25,
48 ramp20,
49 ramp15,
50 ramp12,
51 ramp10
874bcba6
MW
52};
53
370d7ce6 54enum antenna_impedance {
0b897065
VV
55 fifty_ohm,
56 two_hundred_ohm
874bcba6
MW
57};
58
e4928ac2 59enum lna_gain {
21a97589
SS
60 automatic,
61 max,
b167a524
VV
62 max_minus_6,
63 max_minus_12,
64 max_minus_24,
65 max_minus_36,
66 max_minus_48,
21a97589 67 undefined
874bcba6
MW
68};
69
8d05bce2 70enum mantisse {
21a97589
SS
71 mantisse16,
72 mantisse20,
73 mantisse24
874bcba6
MW
74};
75
b52a5b79 76enum threshold_decrement {
21a97589
SS
77 dec_every8th,
78 dec_every4th,
79 dec_every2nd,
80 dec_once,
81 dec_twice,
82 dec_4times,
83 dec_8times,
84 dec_16times
874bcba6
MW
85};
86
8d05bce2 87enum flag {
1cd41fc3
VV
88 mode_switch_completed,
89 ready_to_receive,
90 ready_to_send,
91 pll_locked,
92 rssi_exceeded_threshold,
21a97589
SS
93 timeout,
94 automode,
1cd41fc3 95 sync_address_match,
acf71f8d
VV
96 fifo_full,
97// fifo_not_empty, collision with next enum; replaced by following enum...
98 fifo_empty,
99 fifo_level_below_threshold,
100 fifo_overrun,
1cd41fc3 101 packet_sent,
0b9acf7d 102 payload_ready,
1cd41fc3
VV
103 crc_ok,
104 battery_low
874bcba6
MW
105};
106
acf71f8d 107enum fifo_fill_condition {
53e0b83d 108 after_sync_interrupt,
21a97589 109 always
874bcba6
MW
110};
111
c436ef3b
VV
112enum packet_format {
113 packet_length_fix,
114 packet_length_var
874bcba6
MW
115};
116
6252016c 117enum tx_start_condition {
acf71f8d
VV
118 fifo_level,
119 fifo_not_empty
874bcba6
MW
120};
121
e69a0500 122enum address_filtering {
cd9d5291
VV
123 filtering_off,
124 node_address,
125 node_or_broadcast_address
874bcba6
MW
126};
127
8d05bce2 128enum dagc {
bcb4f0d7 129 normal_mode,
21a97589 130 improve,
bcb4f0d7 131 improve_for_low_modulation_index
874bcba6
MW
132};
133
874bcba6 134#endif