Merge tag 'kvm-x86-misc-6.9' of https://github.com/kvm-x86/linux into HEAD
[linux-2.6-block.git] / drivers / irqchip / irq-sifive-plic.c
CommitLineData
8237f8bc
CH
1// SPDX-License-Identifier: GPL-2.0
2/*
3 * Copyright (C) 2017 SiFive
4 * Copyright (C) 2018 Christoph Hellwig
5 */
6#define pr_fmt(fmt) "plic: " fmt
ccbe80ba 7#include <linux/cpu.h>
8237f8bc
CH
8#include <linux/interrupt.h>
9#include <linux/io.h>
10#include <linux/irq.h>
11#include <linux/irqchip.h>
6b7ce892 12#include <linux/irqchip/chained_irq.h>
8237f8bc
CH
13#include <linux/irqdomain.h>
14#include <linux/module.h>
15#include <linux/of.h>
16#include <linux/of_address.h>
17#include <linux/of_irq.h>
18#include <linux/platform_device.h>
19#include <linux/spinlock.h>
e80f0b6a 20#include <linux/syscore_ops.h>
f99fb607 21#include <asm/smp.h>
8237f8bc
CH
22
23/*
24 * This driver implements a version of the RISC-V PLIC with the actual layout
25 * specified in chapter 8 of the SiFive U5 Coreplex Series Manual:
26 *
27 * https://static.dev.sifive.com/U54-MC-RVCoreIP.pdf
28 *
29 * The largest number supported by devices marked as 'sifive,plic-1.0.0', is
30 * 1024, of which device 0 is defined as non-existent by the RISC-V Privileged
31 * Spec.
32 */
33
34#define MAX_DEVICES 1024
35#define MAX_CONTEXTS 15872
36
37/*
38 * Each interrupt source has a priority register associated with it.
39 * We always hardwire it to one in Linux.
40 */
41#define PRIORITY_BASE 0
42#define PRIORITY_PER_ID 4
43
44/*
45 * Each hart context has a vector of interrupt enable bits associated with it.
46 * There's one bit for each interrupt source.
47 */
0d3616bb
NC
48#define CONTEXT_ENABLE_BASE 0x2000
49#define CONTEXT_ENABLE_SIZE 0x80
8237f8bc
CH
50
51/*
52 * Each hart context has a set of control registers associated with it. Right
53 * now there's only two: a source priority threshold over which the hart will
54 * take an interrupt, and a register to claim interrupts.
55 */
56#define CONTEXT_BASE 0x200000
0d3616bb 57#define CONTEXT_SIZE 0x1000
8237f8bc
CH
58#define CONTEXT_THRESHOLD 0x00
59#define CONTEXT_CLAIM 0x04
60
d727be7b 61#define PLIC_DISABLE_THRESHOLD 0x7
ccbe80ba
AP
62#define PLIC_ENABLE_THRESHOLD 0
63
dd46337c
LP
64#define PLIC_QUIRK_EDGE_INTERRUPT 0
65
f1ad1133
AP
66struct plic_priv {
67 struct cpumask lmask;
68 struct irq_domain *irqdomain;
69 void __iomem *regs;
dd46337c 70 unsigned long plic_quirks;
e80f0b6a
MH
71 unsigned int nr_irqs;
72 unsigned long *prio_save;
f1ad1133 73};
8237f8bc
CH
74
75struct plic_handler {
76 bool present;
86c7cbf1
AP
77 void __iomem *hart_base;
78 /*
79 * Protect mask operations on the registers given that we can't
80 * assume atomic memory operations work on them.
81 */
82 raw_spinlock_t enable_lock;
83 void __iomem *enable_base;
e80f0b6a 84 u32 *enable_save;
f1ad1133 85 struct plic_priv *priv;
8237f8bc 86};
e03b7c1b
JZ
87static int plic_parent_irq __ro_after_init;
88static bool plic_cpuhp_setup_done __ro_after_init;
8237f8bc
CH
89static DEFINE_PER_CPU(struct plic_handler, plic_handlers);
90
dd46337c
LP
91static int plic_irq_set_type(struct irq_data *d, unsigned int type);
92
098fdbc3 93static void __plic_toggle(void __iomem *enable_base, int hwirq, int enable)
8237f8bc 94{
098fdbc3 95 u32 __iomem *reg = enable_base + (hwirq / 32) * sizeof(u32);
8237f8bc
CH
96 u32 hwirq_mask = 1 << (hwirq % 32);
97
8237f8bc
CH
98 if (enable)
99 writel(readl(reg) | hwirq_mask, reg);
100 else
101 writel(readl(reg) & ~hwirq_mask, reg);
098fdbc3
NC
102}
103
104static void plic_toggle(struct plic_handler *handler, int hwirq, int enable)
105{
106 raw_spin_lock(&handler->enable_lock);
107 __plic_toggle(handler->enable_base, hwirq, enable);
86c7cbf1 108 raw_spin_unlock(&handler->enable_lock);
8237f8bc
CH
109}
110
cc9f04f9 111static inline void plic_irq_toggle(const struct cpumask *mask,
f1ad1133 112 struct irq_data *d, int enable)
8237f8bc
CH
113{
114 int cpu;
115
cc9f04f9 116 for_each_cpu(cpu, mask) {
8237f8bc
CH
117 struct plic_handler *handler = per_cpu_ptr(&plic_handlers, cpu);
118
de078949 119 plic_toggle(handler, d->hwirq, enable);
8237f8bc
CH
120 }
121}
122
a1706a1c 123static void plic_irq_enable(struct irq_data *d)
8237f8bc 124{
de078949 125 plic_irq_toggle(irq_data_get_effective_affinity_mask(d), d, 1);
8237f8bc
CH
126}
127
a1706a1c 128static void plic_irq_disable(struct irq_data *d)
8237f8bc 129{
de078949 130 plic_irq_toggle(irq_data_get_effective_affinity_mask(d), d, 0);
8237f8bc
CH
131}
132
a1706a1c
SH
133static void plic_irq_unmask(struct irq_data *d)
134{
135 struct plic_priv *priv = irq_data_get_irq_chip_data(d);
136
137 writel(1, priv->regs + PRIORITY_BASE + d->hwirq * PRIORITY_PER_ID);
138}
139
140static void plic_irq_mask(struct irq_data *d)
141{
142 struct plic_priv *priv = irq_data_get_irq_chip_data(d);
143
144 writel(0, priv->regs + PRIORITY_BASE + d->hwirq * PRIORITY_PER_ID);
145}
146
147static void plic_irq_eoi(struct irq_data *d)
148{
149 struct plic_handler *handler = this_cpu_ptr(&plic_handlers);
150
9c92006b
NC
151 if (unlikely(irqd_irq_disabled(d))) {
152 plic_toggle(handler, d->hwirq, 1);
153 writel(d->hwirq, handler->hart_base + CONTEXT_CLAIM);
154 plic_toggle(handler, d->hwirq, 0);
155 } else {
156 writel(d->hwirq, handler->hart_base + CONTEXT_CLAIM);
157 }
a1706a1c
SH
158}
159
cc9f04f9
AP
160#ifdef CONFIG_SMP
161static int plic_set_affinity(struct irq_data *d,
162 const struct cpumask *mask_val, bool force)
163{
164 unsigned int cpu;
f1ad1133 165 struct cpumask amask;
f9ac7bbd 166 struct plic_priv *priv = irq_data_get_irq_chip_data(d);
f1ad1133
AP
167
168 cpumask_and(&amask, &priv->lmask, mask_val);
cc9f04f9
AP
169
170 if (force)
f1ad1133 171 cpu = cpumask_first(&amask);
cc9f04f9 172 else
f1ad1133 173 cpu = cpumask_any_and(&amask, cpu_online_mask);
cc9f04f9
AP
174
175 if (cpu >= nr_cpu_ids)
176 return -EINVAL;
177
a1706a1c 178 plic_irq_disable(d);
cc9f04f9
AP
179
180 irq_data_update_effective_affinity(d, cpumask_of(cpu));
181
a1706a1c
SH
182 if (!irqd_irq_disabled(d))
183 plic_irq_enable(d);
de078949 184
cc9f04f9
AP
185 return IRQ_SET_MASK_OK_DONE;
186}
187#endif
188
dd46337c
LP
189static struct irq_chip plic_edge_chip = {
190 .name = "SiFive PLIC",
a1706a1c
SH
191 .irq_enable = plic_irq_enable,
192 .irq_disable = plic_irq_disable,
dd46337c
LP
193 .irq_ack = plic_irq_eoi,
194 .irq_mask = plic_irq_mask,
195 .irq_unmask = plic_irq_unmask,
196#ifdef CONFIG_SMP
197 .irq_set_affinity = plic_set_affinity,
198#endif
199 .irq_set_type = plic_irq_set_type,
f5259b04
SH
200 .flags = IRQCHIP_SKIP_SET_WAKE |
201 IRQCHIP_AFFINITY_PRE_STARTUP,
dd46337c
LP
202};
203
8237f8bc
CH
204static struct irq_chip plic_chip = {
205 .name = "SiFive PLIC",
a1706a1c
SH
206 .irq_enable = plic_irq_enable,
207 .irq_disable = plic_irq_disable,
bb0fed1c
MZ
208 .irq_mask = plic_irq_mask,
209 .irq_unmask = plic_irq_unmask,
210 .irq_eoi = plic_irq_eoi,
cc9f04f9
AP
211#ifdef CONFIG_SMP
212 .irq_set_affinity = plic_set_affinity,
213#endif
dd46337c 214 .irq_set_type = plic_irq_set_type,
f5259b04
SH
215 .flags = IRQCHIP_SKIP_SET_WAKE |
216 IRQCHIP_AFFINITY_PRE_STARTUP,
8237f8bc
CH
217};
218
dd46337c
LP
219static int plic_irq_set_type(struct irq_data *d, unsigned int type)
220{
221 struct plic_priv *priv = irq_data_get_irq_chip_data(d);
222
223 if (!test_bit(PLIC_QUIRK_EDGE_INTERRUPT, &priv->plic_quirks))
224 return IRQ_SET_MASK_OK_NOCOPY;
225
226 switch (type) {
227 case IRQ_TYPE_EDGE_RISING:
228 irq_set_chip_handler_name_locked(d, &plic_edge_chip,
229 handle_edge_irq, NULL);
230 break;
231 case IRQ_TYPE_LEVEL_HIGH:
232 irq_set_chip_handler_name_locked(d, &plic_chip,
233 handle_fasteoi_irq, NULL);
234 break;
235 default:
236 return -EINVAL;
237 }
238
239 return IRQ_SET_MASK_OK;
240}
241
e80f0b6a
MH
242static int plic_irq_suspend(void)
243{
244 unsigned int i, cpu;
245 u32 __iomem *reg;
246 struct plic_priv *priv;
247
248 priv = per_cpu_ptr(&plic_handlers, smp_processor_id())->priv;
249
250 for (i = 0; i < priv->nr_irqs; i++)
251 if (readl(priv->regs + PRIORITY_BASE + i * PRIORITY_PER_ID))
252 __set_bit(i, priv->prio_save);
253 else
254 __clear_bit(i, priv->prio_save);
255
256 for_each_cpu(cpu, cpu_present_mask) {
257 struct plic_handler *handler = per_cpu_ptr(&plic_handlers, cpu);
258
259 if (!handler->present)
260 continue;
261
262 raw_spin_lock(&handler->enable_lock);
263 for (i = 0; i < DIV_ROUND_UP(priv->nr_irqs, 32); i++) {
264 reg = handler->enable_base + i * sizeof(u32);
265 handler->enable_save[i] = readl(reg);
266 }
267 raw_spin_unlock(&handler->enable_lock);
268 }
269
270 return 0;
271}
272
273static void plic_irq_resume(void)
274{
275 unsigned int i, index, cpu;
276 u32 __iomem *reg;
277 struct plic_priv *priv;
278
279 priv = per_cpu_ptr(&plic_handlers, smp_processor_id())->priv;
280
281 for (i = 0; i < priv->nr_irqs; i++) {
282 index = BIT_WORD(i);
283 writel((priv->prio_save[index] & BIT_MASK(i)) ? 1 : 0,
284 priv->regs + PRIORITY_BASE + i * PRIORITY_PER_ID);
285 }
286
287 for_each_cpu(cpu, cpu_present_mask) {
288 struct plic_handler *handler = per_cpu_ptr(&plic_handlers, cpu);
289
290 if (!handler->present)
291 continue;
292
293 raw_spin_lock(&handler->enable_lock);
294 for (i = 0; i < DIV_ROUND_UP(priv->nr_irqs, 32); i++) {
295 reg = handler->enable_base + i * sizeof(u32);
296 writel(handler->enable_save[i], reg);
297 }
298 raw_spin_unlock(&handler->enable_lock);
299 }
300}
301
302static struct syscore_ops plic_irq_syscore_ops = {
303 .suspend = plic_irq_suspend,
304 .resume = plic_irq_resume,
305};
306
8237f8bc
CH
307static int plic_irqdomain_map(struct irq_domain *d, unsigned int irq,
308 irq_hw_number_t hwirq)
309{
2458ed31
AP
310 struct plic_priv *priv = d->host_data;
311
466008f9
YS
312 irq_domain_set_info(d, irq, hwirq, &plic_chip, d->host_data,
313 handle_fasteoi_irq, NULL, NULL);
8237f8bc 314 irq_set_noprobe(irq);
2458ed31 315 irq_set_affinity(irq, &priv->lmask);
8237f8bc
CH
316 return 0;
317}
318
dd46337c
LP
319static int plic_irq_domain_translate(struct irq_domain *d,
320 struct irq_fwspec *fwspec,
321 unsigned long *hwirq,
322 unsigned int *type)
323{
324 struct plic_priv *priv = d->host_data;
325
326 if (test_bit(PLIC_QUIRK_EDGE_INTERRUPT, &priv->plic_quirks))
327 return irq_domain_translate_twocell(d, fwspec, hwirq, type);
328
329 return irq_domain_translate_onecell(d, fwspec, hwirq, type);
330}
331
466008f9
YS
332static int plic_irq_domain_alloc(struct irq_domain *domain, unsigned int virq,
333 unsigned int nr_irqs, void *arg)
334{
335 int i, ret;
336 irq_hw_number_t hwirq;
337 unsigned int type;
338 struct irq_fwspec *fwspec = arg;
339
dd46337c 340 ret = plic_irq_domain_translate(domain, fwspec, &hwirq, &type);
466008f9
YS
341 if (ret)
342 return ret;
343
344 for (i = 0; i < nr_irqs; i++) {
345 ret = plic_irqdomain_map(domain, virq + i, hwirq + i);
346 if (ret)
347 return ret;
348 }
349
350 return 0;
351}
352
8237f8bc 353static const struct irq_domain_ops plic_irqdomain_ops = {
dd46337c 354 .translate = plic_irq_domain_translate,
466008f9
YS
355 .alloc = plic_irq_domain_alloc,
356 .free = irq_domain_free_irqs_top,
8237f8bc
CH
357};
358
8237f8bc
CH
359/*
360 * Handling an interrupt is a two-step process: first you claim the interrupt
361 * by reading the claim register, then you complete the interrupt by writing
362 * that source ID back to the same claim register. This automatically enables
363 * and disables the interrupt, so there's nothing else to do.
364 */
6b7ce892 365static void plic_handle_irq(struct irq_desc *desc)
8237f8bc
CH
366{
367 struct plic_handler *handler = this_cpu_ptr(&plic_handlers);
6b7ce892 368 struct irq_chip *chip = irq_desc_get_chip(desc);
86c7cbf1 369 void __iomem *claim = handler->hart_base + CONTEXT_CLAIM;
8237f8bc
CH
370 irq_hw_number_t hwirq;
371
372 WARN_ON_ONCE(!handler->present);
373
6b7ce892
AP
374 chained_irq_enter(chip, desc);
375
8237f8bc 376 while ((hwirq = readl(claim))) {
046a6ee2
MZ
377 int err = generic_handle_domain_irq(handler->priv->irqdomain,
378 hwirq);
379 if (unlikely(err))
8237f8bc
CH
380 pr_warn_ratelimited("can't find mapping for hwirq %lu\n",
381 hwirq);
8237f8bc 382 }
6b7ce892
AP
383
384 chained_irq_exit(chip, desc);
8237f8bc
CH
385}
386
ccbe80ba
AP
387static void plic_set_threshold(struct plic_handler *handler, u32 threshold)
388{
389 /* priority must be > threshold to trigger an interrupt */
390 writel(threshold, handler->hart_base + CONTEXT_THRESHOLD);
391}
392
393static int plic_dying_cpu(unsigned int cpu)
394{
6b7ce892
AP
395 if (plic_parent_irq)
396 disable_percpu_irq(plic_parent_irq);
ccbe80ba
AP
397
398 return 0;
399}
400
401static int plic_starting_cpu(unsigned int cpu)
402{
403 struct plic_handler *handler = this_cpu_ptr(&plic_handlers);
404
6b7ce892
AP
405 if (plic_parent_irq)
406 enable_percpu_irq(plic_parent_irq,
407 irq_get_trigger_type(plic_parent_irq));
408 else
409 pr_warn("cpu%d: parent irq not available\n", cpu);
ccbe80ba
AP
410 plic_set_threshold(handler, PLIC_ENABLE_THRESHOLD);
411
412 return 0;
413}
414
dd46337c
LP
415static int __init __plic_init(struct device_node *node,
416 struct device_node *parent,
417 unsigned long plic_quirks)
8237f8bc 418{
6adfe8d2 419 int error = 0, nr_contexts, nr_handlers = 0, i;
8237f8bc 420 u32 nr_irqs;
f1ad1133 421 struct plic_priv *priv;
2234ae84 422 struct plic_handler *handler;
e80f0b6a 423 unsigned int cpu;
8237f8bc 424
f1ad1133
AP
425 priv = kzalloc(sizeof(*priv), GFP_KERNEL);
426 if (!priv)
427 return -ENOMEM;
8237f8bc 428
dd46337c
LP
429 priv->plic_quirks = plic_quirks;
430
f1ad1133
AP
431 priv->regs = of_iomap(node, 0);
432 if (WARN_ON(!priv->regs)) {
433 error = -EIO;
434 goto out_free_priv;
435 }
8237f8bc
CH
436
437 error = -EINVAL;
438 of_property_read_u32(node, "riscv,ndev", &nr_irqs);
439 if (WARN_ON(!nr_irqs))
440 goto out_iounmap;
441
e80f0b6a
MH
442 priv->nr_irqs = nr_irqs;
443
444 priv->prio_save = bitmap_alloc(nr_irqs, GFP_KERNEL);
445 if (!priv->prio_save)
446 goto out_free_priority_reg;
447
6adfe8d2
AP
448 nr_contexts = of_irq_count(node);
449 if (WARN_ON(!nr_contexts))
e80f0b6a 450 goto out_free_priority_reg;
8237f8bc
CH
451
452 error = -ENOMEM;
f1ad1133
AP
453 priv->irqdomain = irq_domain_add_linear(node, nr_irqs + 1,
454 &plic_irqdomain_ops, priv);
455 if (WARN_ON(!priv->irqdomain))
e80f0b6a 456 goto out_free_priority_reg;
8237f8bc 457
6adfe8d2 458 for (i = 0; i < nr_contexts; i++) {
8237f8bc 459 struct of_phandle_args parent;
8237f8bc 460 irq_hw_number_t hwirq;
ad635e72
S
461 int cpu;
462 unsigned long hartid;
8237f8bc
CH
463
464 if (of_irq_parse_one(node, i, &parent)) {
465 pr_err("failed to parse parent for context %d.\n", i);
466 continue;
467 }
468
a4c3733d
CH
469 /*
470 * Skip contexts other than external interrupts for our
471 * privilege level.
472 */
098fdbc3
NC
473 if (parent.args[0] != RV_IRQ_EXT) {
474 /* Disable S-mode enable bits if running in M-mode. */
475 if (IS_ENABLED(CONFIG_RISCV_M_MODE)) {
476 void __iomem *enable_base = priv->regs +
477 CONTEXT_ENABLE_BASE +
478 i * CONTEXT_ENABLE_SIZE;
479
480 for (hwirq = 1; hwirq <= nr_irqs; hwirq++)
481 __plic_toggle(enable_base, hwirq, 0);
482 }
8237f8bc 483 continue;
098fdbc3 484 }
8237f8bc 485
ad635e72
S
486 error = riscv_of_parent_hartid(parent.np, &hartid);
487 if (error < 0) {
8237f8bc
CH
488 pr_warn("failed to parse hart ID for context %d.\n", i);
489 continue;
490 }
491
f99fb607 492 cpu = riscv_hartid_to_cpuid(hartid);
fc03acae
AP
493 if (cpu < 0) {
494 pr_warn("Invalid cpuid for context %d\n", i);
495 continue;
496 }
497
6b7ce892
AP
498 /* Find parent domain and register chained handler */
499 if (!plic_parent_irq && irq_find_host(parent.np)) {
500 plic_parent_irq = irq_of_parse_and_map(node, i);
501 if (plic_parent_irq)
502 irq_set_chained_handler(plic_parent_irq,
503 plic_handle_irq);
504 }
505
9ce06497
CH
506 /*
507 * When running in M-mode we need to ignore the S-mode handler.
508 * Here we assume it always comes later, but that might be a
509 * little fragile.
510 */
8237f8bc 511 handler = per_cpu_ptr(&plic_handlers, cpu);
3fecb5aa
AP
512 if (handler->present) {
513 pr_warn("handler already present for context %d.\n", i);
ccbe80ba 514 plic_set_threshold(handler, PLIC_DISABLE_THRESHOLD);
9ce06497 515 goto done;
3fecb5aa
AP
516 }
517
f1ad1133 518 cpumask_set_cpu(cpu, &priv->lmask);
8237f8bc 519 handler->present = true;
0d3616bb
NC
520 handler->hart_base = priv->regs + CONTEXT_BASE +
521 i * CONTEXT_SIZE;
86c7cbf1 522 raw_spin_lock_init(&handler->enable_lock);
0d3616bb
NC
523 handler->enable_base = priv->regs + CONTEXT_ENABLE_BASE +
524 i * CONTEXT_ENABLE_SIZE;
f1ad1133 525 handler->priv = priv;
e80f0b6a
MH
526
527 handler->enable_save = kcalloc(DIV_ROUND_UP(nr_irqs, 32),
528 sizeof(*handler->enable_save), GFP_KERNEL);
529 if (!handler->enable_save)
530 goto out_free_enable_reg;
9ce06497 531done:
a1706a1c 532 for (hwirq = 1; hwirq <= nr_irqs; hwirq++) {
86c7cbf1 533 plic_toggle(handler, hwirq, 0);
a1706a1c
SH
534 writel(1, priv->regs + PRIORITY_BASE +
535 hwirq * PRIORITY_PER_ID);
536 }
6adfe8d2 537 nr_handlers++;
8237f8bc
CH
538 }
539
2234ae84 540 /*
f99b926f
AP
541 * We can have multiple PLIC instances so setup cpuhp state
542 * and register syscore operations only when context handler
543 * for current/boot CPU is present.
2234ae84
AP
544 */
545 handler = this_cpu_ptr(&plic_handlers);
546 if (handler->present && !plic_cpuhp_setup_done) {
547 cpuhp_setup_state(CPUHP_AP_IRQ_SIFIVE_PLIC_STARTING,
ccbe80ba
AP
548 "irqchip/sifive/plic:starting",
549 plic_starting_cpu, plic_dying_cpu);
f99b926f 550 register_syscore_ops(&plic_irq_syscore_ops);
2234ae84
AP
551 plic_cpuhp_setup_done = true;
552 }
553
0e375f51
AP
554 pr_info("%pOFP: mapped %d interrupts with %d handlers for"
555 " %d contexts.\n", node, nr_irqs, nr_handlers, nr_contexts);
8237f8bc
CH
556 return 0;
557
e80f0b6a
MH
558out_free_enable_reg:
559 for_each_cpu(cpu, cpu_present_mask) {
560 handler = per_cpu_ptr(&plic_handlers, cpu);
561 kfree(handler->enable_save);
562 }
563out_free_priority_reg:
564 kfree(priv->prio_save);
8237f8bc 565out_iounmap:
f1ad1133
AP
566 iounmap(priv->regs);
567out_free_priv:
568 kfree(priv);
8237f8bc
CH
569 return error;
570}
571
dd46337c
LP
572static int __init plic_init(struct device_node *node,
573 struct device_node *parent)
574{
575 return __plic_init(node, parent, 0);
576}
577
8237f8bc
CH
578IRQCHIP_DECLARE(sifive_plic, "sifive,plic-1.0.0", plic_init);
579IRQCHIP_DECLARE(riscv_plic0, "riscv,plic0", plic_init); /* for legacy systems */
dd46337c
LP
580
581static int __init plic_edge_init(struct device_node *node,
582 struct device_node *parent)
583{
584 return __plic_init(node, parent, BIT(PLIC_QUIRK_EDGE_INTERRUPT));
585}
586
587IRQCHIP_DECLARE(andestech_nceplic100, "andestech,nceplic100", plic_edge_init);
5873ba55 588IRQCHIP_DECLARE(thead_c900_plic, "thead,c900-plic", plic_edge_init);