drm/i915/icl: Wa_2006665173
[linux-2.6-block.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
1aa920ea
JN
28/**
29 * DOC: The i915 register macro definition style guide
30 *
31 * Follow the style described here for new macros, and while changing existing
32 * macros. Do **not** mass change existing definitions just to update the style.
33 *
34 * Layout
35 * ''''''
36 *
37 * Keep helper macros near the top. For example, _PIPE() and friends.
38 *
39 * Prefix macros that generally should not be used outside of this file with
40 * underscore '_'. For example, _PIPE() and friends, single instances of
41 * registers that are defined solely for the use by function-like macros.
42 *
43 * Avoid using the underscore prefixed macros outside of this file. There are
44 * exceptions, but keep them to a minimum.
45 *
46 * There are two basic types of register definitions: Single registers and
47 * register groups. Register groups are registers which have two or more
48 * instances, for example one per pipe, port, transcoder, etc. Register groups
49 * should be defined using function-like macros.
50 *
51 * For single registers, define the register offset first, followed by register
52 * contents.
53 *
54 * For register groups, define the register instance offsets first, prefixed
55 * with underscore, followed by a function-like macro choosing the right
56 * instance based on the parameter, followed by register contents.
57 *
58 * Define the register contents (i.e. bit and bit field macros) from most
59 * significant to least significant bit. Indent the register content macros
60 * using two extra spaces between ``#define`` and the macro name.
61 *
62 * For bit fields, define a ``_MASK`` and a ``_SHIFT`` macro. Define bit field
63 * contents so that they are already shifted in place, and can be directly
64 * OR'd. For convenience, function-like macros may be used to define bit fields,
65 * but do note that the macros may be needed to read as well as write the
66 * register contents.
67 *
68 * Define bits using ``(1 << N)`` instead of ``BIT(N)``. We may change this in
69 * the future, but this is the prevailing style. Do **not** add ``_BIT`` suffix
70 * to the name.
71 *
72 * Group the register and its contents together without blank lines, separate
73 * from other registers and their contents with one blank line.
74 *
75 * Indent macro values from macro names using TABs. Align values vertically. Use
76 * braces in macro values as needed to avoid unintended precedence after macro
77 * substitution. Use spaces in macro values according to kernel coding
78 * style. Use lower case in hexadecimal values.
79 *
80 * Naming
81 * ''''''
82 *
83 * Try to name registers according to the specs. If the register name changes in
84 * the specs from platform to another, stick to the original name.
85 *
86 * Try to re-use existing register macro definitions. Only add new macros for
87 * new register offsets, or when the register contents have changed enough to
88 * warrant a full redefinition.
89 *
90 * When a register macro changes for a new platform, prefix the new macro using
91 * the platform acronym or generation. For example, ``SKL_`` or ``GEN8_``. The
92 * prefix signifies the start platform/generation using the register.
93 *
94 * When a bit (field) macro changes or gets added for a new platform, while
95 * retaining the existing register macro, add a platform acronym or generation
96 * suffix to the name. For example, ``_SKL`` or ``_GEN8``.
97 *
98 * Examples
99 * ''''''''
100 *
101 * (Note that the values in the example are indented using spaces instead of
102 * TABs to avoid misalignment in generated documentation. Use TABs in the
103 * definitions.)::
104 *
105 * #define _FOO_A 0xf000
106 * #define _FOO_B 0xf001
107 * #define FOO(pipe) _MMIO_PIPE(pipe, _FOO_A, _FOO_B)
108 * #define FOO_ENABLE (1 << 31)
109 * #define FOO_MODE_MASK (0xf << 16)
110 * #define FOO_MODE_SHIFT 16
111 * #define FOO_MODE_BAR (0 << 16)
112 * #define FOO_MODE_BAZ (1 << 16)
113 * #define FOO_MODE_QUX_SNB (2 << 16)
114 *
115 * #define BAR _MMIO(0xb000)
116 * #define GEN8_BAR _MMIO(0xb888)
117 */
118
f0f59a00
VS
119typedef struct {
120 uint32_t reg;
121} i915_reg_t;
122
123#define _MMIO(r) ((const i915_reg_t){ .reg = (r) })
124
125#define INVALID_MMIO_REG _MMIO(0)
126
127static inline uint32_t i915_mmio_reg_offset(i915_reg_t reg)
128{
129 return reg.reg;
130}
131
132static inline bool i915_mmio_reg_equal(i915_reg_t a, i915_reg_t b)
133{
134 return i915_mmio_reg_offset(a) == i915_mmio_reg_offset(b);
135}
136
137static inline bool i915_mmio_reg_valid(i915_reg_t reg)
138{
139 return !i915_mmio_reg_equal(reg, INVALID_MMIO_REG);
140}
141
ce64645d
JN
142#define _PICK(__index, ...) (((const u32 []){ __VA_ARGS__ })[__index])
143
5eddb70b 144#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
f0f59a00 145#define _MMIO_PIPE(pipe, a, b) _MMIO(_PIPE(pipe, a, b))
70d21f0e 146#define _PLANE(plane, a, b) _PIPE(plane, a, b)
f0f59a00
VS
147#define _MMIO_PLANE(plane, a, b) _MMIO_PIPE(plane, a, b)
148#define _TRANS(tran, a, b) ((a) + (tran)*((b)-(a)))
149#define _MMIO_TRANS(tran, a, b) _MMIO(_TRANS(tran, a, b))
2b139522 150#define _PORT(port, a, b) ((a) + (port)*((b)-(a)))
f0f59a00 151#define _MMIO_PORT(port, a, b) _MMIO(_PORT(port, a, b))
a1986f41
RV
152#define _MMIO_PIPE3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
153#define _MMIO_PORT3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
a927c927
RV
154#define _PLL(pll, a, b) ((a) + (pll)*((b)-(a)))
155#define _MMIO_PLL(pll, a, b) _MMIO(_PLL(pll, a, b))
ce64645d 156#define _PHY3(phy, ...) _PICK(phy, __VA_ARGS__)
0a116ce8 157#define _MMIO_PHY3(phy, a, b, c) _MMIO(_PHY3(phy, a, b, c))
2b139522 158
98533251
DL
159#define _MASKED_FIELD(mask, value) ({ \
160 if (__builtin_constant_p(mask)) \
161 BUILD_BUG_ON_MSG(((mask) & 0xffff0000), "Incorrect mask"); \
162 if (__builtin_constant_p(value)) \
163 BUILD_BUG_ON_MSG((value) & 0xffff0000, "Incorrect value"); \
164 if (__builtin_constant_p(mask) && __builtin_constant_p(value)) \
165 BUILD_BUG_ON_MSG((value) & ~(mask), \
166 "Incorrect value for mask"); \
167 (mask) << 16 | (value); })
168#define _MASKED_BIT_ENABLE(a) ({ typeof(a) _a = (a); _MASKED_FIELD(_a, _a); })
169#define _MASKED_BIT_DISABLE(a) (_MASKED_FIELD((a), 0))
170
237ae7c7 171/* Engine ID */
98533251 172
237ae7c7
MW
173#define RCS_HW 0
174#define VCS_HW 1
175#define BCS_HW 2
176#define VECS_HW 3
177#define VCS2_HW 4
022d3093
TU
178#define VCS3_HW 6
179#define VCS4_HW 7
180#define VECS2_HW 12
6b26c86d 181
0908180b
DCS
182/* Engine class */
183
184#define RENDER_CLASS 0
185#define VIDEO_DECODE_CLASS 1
186#define VIDEO_ENHANCEMENT_CLASS 2
187#define COPY_ENGINE_CLASS 3
188#define OTHER_CLASS 4
b46a33e2
TU
189#define MAX_ENGINE_CLASS 4
190
d02b98b8 191#define OTHER_GTPM_INSTANCE 1
022d3093 192#define MAX_ENGINE_INSTANCE 3
0908180b 193
585fb111
JB
194/* PCI config space */
195
e10fa551
JL
196#define MCHBAR_I915 0x44
197#define MCHBAR_I965 0x48
198#define MCHBAR_SIZE (4 * 4096)
199
200#define DEVEN 0x54
201#define DEVEN_MCHBAR_EN (1 << 28)
202
40006c43 203/* BSM in include/drm/i915_drm.h */
e10fa551 204
1b1d2716
VS
205#define HPLLCC 0xc0 /* 85x only */
206#define GC_CLOCK_CONTROL_MASK (0x7 << 0)
585fb111
JB
207#define GC_CLOCK_133_200 (0 << 0)
208#define GC_CLOCK_100_200 (1 << 0)
209#define GC_CLOCK_100_133 (2 << 0)
1b1d2716
VS
210#define GC_CLOCK_133_266 (3 << 0)
211#define GC_CLOCK_133_200_2 (4 << 0)
212#define GC_CLOCK_133_266_2 (5 << 0)
213#define GC_CLOCK_166_266 (6 << 0)
214#define GC_CLOCK_166_250 (7 << 0)
215
e10fa551
JL
216#define I915_GDRST 0xc0 /* PCI config register */
217#define GRDOM_FULL (0 << 2)
218#define GRDOM_RENDER (1 << 2)
219#define GRDOM_MEDIA (3 << 2)
220#define GRDOM_MASK (3 << 2)
221#define GRDOM_RESET_STATUS (1 << 1)
222#define GRDOM_RESET_ENABLE (1 << 0)
223
8fdded82
VS
224/* BSpec only has register offset, PCI device and bit found empirically */
225#define I830_CLOCK_GATE 0xc8 /* device 0 */
226#define I830_L2_CACHE_CLOCK_GATE_DISABLE (1 << 2)
227
e10fa551
JL
228#define GCDGMBUS 0xcc
229
f97108d1 230#define GCFGC2 0xda
585fb111
JB
231#define GCFGC 0xf0 /* 915+ only */
232#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
233#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
6248017a 234#define GC_DISPLAY_CLOCK_333_320_MHZ (4 << 4)
257a7ffc
DV
235#define GC_DISPLAY_CLOCK_267_MHZ_PNV (0 << 4)
236#define GC_DISPLAY_CLOCK_333_MHZ_PNV (1 << 4)
237#define GC_DISPLAY_CLOCK_444_MHZ_PNV (2 << 4)
238#define GC_DISPLAY_CLOCK_200_MHZ_PNV (5 << 4)
239#define GC_DISPLAY_CLOCK_133_MHZ_PNV (6 << 4)
240#define GC_DISPLAY_CLOCK_167_MHZ_PNV (7 << 4)
585fb111 241#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
242#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
243#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
244#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
245#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
246#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
247#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
248#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
249#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
250#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
251#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
252#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
253#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
254#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
255#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
256#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
257#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
258#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
259#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
260#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
7f1bdbcb 261
e10fa551
JL
262#define ASLE 0xe4
263#define ASLS 0xfc
264
265#define SWSCI 0xe8
266#define SWSCI_SCISEL (1 << 15)
267#define SWSCI_GSSCIE (1 << 0)
268
269#define LBPC 0xf4 /* legacy/combination backlight modes, also called LBB */
eeccdcac 270
585fb111 271
f0f59a00 272#define ILK_GDSR _MMIO(MCHBAR_MIRROR_BASE + 0x2ca4)
b3a3f03d
VS
273#define ILK_GRDOM_FULL (0<<1)
274#define ILK_GRDOM_RENDER (1<<1)
275#define ILK_GRDOM_MEDIA (3<<1)
276#define ILK_GRDOM_MASK (3<<1)
277#define ILK_GRDOM_RESET_ENABLE (1<<0)
278
f0f59a00 279#define GEN6_MBCUNIT_SNPCR _MMIO(0x900c) /* for LLC config */
07b7ddd9
JB
280#define GEN6_MBC_SNPCR_SHIFT 21
281#define GEN6_MBC_SNPCR_MASK (3<<21)
282#define GEN6_MBC_SNPCR_MAX (0<<21)
283#define GEN6_MBC_SNPCR_MED (1<<21)
284#define GEN6_MBC_SNPCR_LOW (2<<21)
285#define GEN6_MBC_SNPCR_MIN (3<<21) /* only 1/16th of the cache is shared */
286
f0f59a00
VS
287#define VLV_G3DCTL _MMIO(0x9024)
288#define VLV_GSCKGCTL _MMIO(0x9028)
9e72b46c 289
f0f59a00 290#define GEN6_MBCTL _MMIO(0x0907c)
5eb719cd
DV
291#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
292#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
293#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
294#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
295#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
296
f0f59a00 297#define GEN6_GDRST _MMIO(0x941c)
cff458c2
EA
298#define GEN6_GRDOM_FULL (1 << 0)
299#define GEN6_GRDOM_RENDER (1 << 1)
300#define GEN6_GRDOM_MEDIA (1 << 2)
301#define GEN6_GRDOM_BLT (1 << 3)
ee4b6faf 302#define GEN6_GRDOM_VECS (1 << 4)
6b332fa2 303#define GEN9_GRDOM_GUC (1 << 5)
ee4b6faf 304#define GEN8_GRDOM_MEDIA2 (1 << 7)
e34b0345
MT
305/* GEN11 changed all bit defs except for FULL & RENDER */
306#define GEN11_GRDOM_FULL GEN6_GRDOM_FULL
307#define GEN11_GRDOM_RENDER GEN6_GRDOM_RENDER
308#define GEN11_GRDOM_BLT (1 << 2)
309#define GEN11_GRDOM_GUC (1 << 3)
310#define GEN11_GRDOM_MEDIA (1 << 5)
311#define GEN11_GRDOM_MEDIA2 (1 << 6)
312#define GEN11_GRDOM_MEDIA3 (1 << 7)
313#define GEN11_GRDOM_MEDIA4 (1 << 8)
314#define GEN11_GRDOM_VECS (1 << 13)
315#define GEN11_GRDOM_VECS2 (1 << 14)
cff458c2 316
bbdc070a
DG
317#define RING_PP_DIR_BASE(engine) _MMIO((engine)->mmio_base+0x228)
318#define RING_PP_DIR_BASE_READ(engine) _MMIO((engine)->mmio_base+0x518)
319#define RING_PP_DIR_DCLV(engine) _MMIO((engine)->mmio_base+0x220)
5eb719cd
DV
320#define PP_DIR_DCLV_2G 0xffffffff
321
bbdc070a
DG
322#define GEN8_RING_PDP_UDW(engine, n) _MMIO((engine)->mmio_base+0x270 + (n) * 8 + 4)
323#define GEN8_RING_PDP_LDW(engine, n) _MMIO((engine)->mmio_base+0x270 + (n) * 8)
94e409c1 324
f0f59a00 325#define GEN8_R_PWR_CLK_STATE _MMIO(0x20C8)
0cea6502
JM
326#define GEN8_RPCS_ENABLE (1 << 31)
327#define GEN8_RPCS_S_CNT_ENABLE (1 << 18)
328#define GEN8_RPCS_S_CNT_SHIFT 15
329#define GEN8_RPCS_S_CNT_MASK (0x7 << GEN8_RPCS_S_CNT_SHIFT)
330#define GEN8_RPCS_SS_CNT_ENABLE (1 << 11)
331#define GEN8_RPCS_SS_CNT_SHIFT 8
332#define GEN8_RPCS_SS_CNT_MASK (0x7 << GEN8_RPCS_SS_CNT_SHIFT)
333#define GEN8_RPCS_EU_MAX_SHIFT 4
334#define GEN8_RPCS_EU_MAX_MASK (0xf << GEN8_RPCS_EU_MAX_SHIFT)
335#define GEN8_RPCS_EU_MIN_SHIFT 0
336#define GEN8_RPCS_EU_MIN_MASK (0xf << GEN8_RPCS_EU_MIN_SHIFT)
337
f89823c2
LL
338#define WAIT_FOR_RC6_EXIT _MMIO(0x20CC)
339/* HSW only */
340#define HSW_SELECTIVE_READ_ADDRESSING_SHIFT 2
341#define HSW_SELECTIVE_READ_ADDRESSING_MASK (0x3 << HSW_SLECTIVE_READ_ADDRESSING_SHIFT)
342#define HSW_SELECTIVE_WRITE_ADDRESS_SHIFT 4
343#define HSW_SELECTIVE_WRITE_ADDRESS_MASK (0x7 << HSW_SELECTIVE_WRITE_ADDRESS_SHIFT)
344/* HSW+ */
345#define HSW_WAIT_FOR_RC6_EXIT_ENABLE (1 << 0)
346#define HSW_RCS_CONTEXT_ENABLE (1 << 7)
347#define HSW_RCS_INHIBIT (1 << 8)
348/* Gen8 */
349#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
350#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
351#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
352#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
353#define GEN8_SELECTIVE_WRITE_ADDRESSING_ENABLE (1 << 6)
354#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT 9
355#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT)
356#define GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT 11
357#define GEN8_SELECTIVE_READ_SLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT)
358#define GEN8_SELECTIVE_READ_ADDRESSING_ENABLE (1 << 13)
359
f0f59a00 360#define GAM_ECOCHK _MMIO(0x4090)
81e231af 361#define BDW_DISABLE_HDC_INVALIDATION (1<<25)
5eb719cd 362#define ECOCHK_SNB_BIT (1<<10)
6381b550 363#define ECOCHK_DIS_TLB (1<<8)
e3dff585 364#define HSW_ECOCHK_ARB_PRIO_SOL (1<<6)
5eb719cd
DV
365#define ECOCHK_PPGTT_CACHE64B (0x3<<3)
366#define ECOCHK_PPGTT_CACHE4B (0x0<<3)
a6f429a5
VS
367#define ECOCHK_PPGTT_GFDT_IVB (0x1<<4)
368#define ECOCHK_PPGTT_LLC_IVB (0x1<<3)
369#define ECOCHK_PPGTT_UC_HSW (0x1<<3)
370#define ECOCHK_PPGTT_WT_HSW (0x2<<3)
371#define ECOCHK_PPGTT_WB_HSW (0x3<<3)
5eb719cd 372
f0f59a00 373#define GAC_ECO_BITS _MMIO(0x14090)
3b9d7888 374#define ECOBITS_SNB_BIT (1<<13)
48ecfa10
DV
375#define ECOBITS_PPGTT_CACHE64B (3<<8)
376#define ECOBITS_PPGTT_CACHE4B (0<<8)
377
f0f59a00 378#define GAB_CTL _MMIO(0x24000)
be901a5a
DV
379#define GAB_CTL_CONT_AFTER_PAGEFAULT (1<<8)
380
f0f59a00 381#define GEN6_STOLEN_RESERVED _MMIO(0x1082C0)
3774eb50
PZ
382#define GEN6_STOLEN_RESERVED_ADDR_MASK (0xFFF << 20)
383#define GEN7_STOLEN_RESERVED_ADDR_MASK (0x3FFF << 18)
384#define GEN6_STOLEN_RESERVED_SIZE_MASK (3 << 4)
385#define GEN6_STOLEN_RESERVED_1M (0 << 4)
386#define GEN6_STOLEN_RESERVED_512K (1 << 4)
387#define GEN6_STOLEN_RESERVED_256K (2 << 4)
388#define GEN6_STOLEN_RESERVED_128K (3 << 4)
389#define GEN7_STOLEN_RESERVED_SIZE_MASK (1 << 5)
390#define GEN7_STOLEN_RESERVED_1M (0 << 5)
391#define GEN7_STOLEN_RESERVED_256K (1 << 5)
392#define GEN8_STOLEN_RESERVED_SIZE_MASK (3 << 7)
393#define GEN8_STOLEN_RESERVED_1M (0 << 7)
394#define GEN8_STOLEN_RESERVED_2M (1 << 7)
395#define GEN8_STOLEN_RESERVED_4M (2 << 7)
396#define GEN8_STOLEN_RESERVED_8M (3 << 7)
db7fb605 397#define GEN6_STOLEN_RESERVED_ENABLE (1 << 0)
40bae736 398
585fb111
JB
399/* VGA stuff */
400
401#define VGA_ST01_MDA 0x3ba
402#define VGA_ST01_CGA 0x3da
403
f0f59a00 404#define _VGA_MSR_WRITE _MMIO(0x3c2)
585fb111
JB
405#define VGA_MSR_WRITE 0x3c2
406#define VGA_MSR_READ 0x3cc
407#define VGA_MSR_MEM_EN (1<<1)
408#define VGA_MSR_CGA_MODE (1<<0)
409
5434fd92 410#define VGA_SR_INDEX 0x3c4
f930ddd0 411#define SR01 1
5434fd92 412#define VGA_SR_DATA 0x3c5
585fb111
JB
413
414#define VGA_AR_INDEX 0x3c0
415#define VGA_AR_VID_EN (1<<5)
416#define VGA_AR_DATA_WRITE 0x3c0
417#define VGA_AR_DATA_READ 0x3c1
418
419#define VGA_GR_INDEX 0x3ce
420#define VGA_GR_DATA 0x3cf
421/* GR05 */
422#define VGA_GR_MEM_READ_MODE_SHIFT 3
423#define VGA_GR_MEM_READ_MODE_PLANE 1
424/* GR06 */
425#define VGA_GR_MEM_MODE_MASK 0xc
426#define VGA_GR_MEM_MODE_SHIFT 2
427#define VGA_GR_MEM_A0000_AFFFF 0
428#define VGA_GR_MEM_A0000_BFFFF 1
429#define VGA_GR_MEM_B0000_B7FFF 2
430#define VGA_GR_MEM_B0000_BFFFF 3
431
432#define VGA_DACMASK 0x3c6
433#define VGA_DACRX 0x3c7
434#define VGA_DACWX 0x3c8
435#define VGA_DACDATA 0x3c9
436
437#define VGA_CR_INDEX_MDA 0x3b4
438#define VGA_CR_DATA_MDA 0x3b5
439#define VGA_CR_INDEX_CGA 0x3d4
440#define VGA_CR_DATA_CGA 0x3d5
441
f0f59a00
VS
442#define MI_PREDICATE_SRC0 _MMIO(0x2400)
443#define MI_PREDICATE_SRC0_UDW _MMIO(0x2400 + 4)
444#define MI_PREDICATE_SRC1 _MMIO(0x2408)
445#define MI_PREDICATE_SRC1_UDW _MMIO(0x2408 + 4)
9435373e 446
f0f59a00 447#define MI_PREDICATE_RESULT_2 _MMIO(0x2214)
9435373e
RV
448#define LOWER_SLICE_ENABLED (1<<0)
449#define LOWER_SLICE_DISABLED (0<<0)
450
5947de9b
BV
451/*
452 * Registers used only by the command parser
453 */
f0f59a00
VS
454#define BCS_SWCTRL _MMIO(0x22200)
455
456#define GPGPU_THREADS_DISPATCHED _MMIO(0x2290)
457#define GPGPU_THREADS_DISPATCHED_UDW _MMIO(0x2290 + 4)
458#define HS_INVOCATION_COUNT _MMIO(0x2300)
459#define HS_INVOCATION_COUNT_UDW _MMIO(0x2300 + 4)
460#define DS_INVOCATION_COUNT _MMIO(0x2308)
461#define DS_INVOCATION_COUNT_UDW _MMIO(0x2308 + 4)
462#define IA_VERTICES_COUNT _MMIO(0x2310)
463#define IA_VERTICES_COUNT_UDW _MMIO(0x2310 + 4)
464#define IA_PRIMITIVES_COUNT _MMIO(0x2318)
465#define IA_PRIMITIVES_COUNT_UDW _MMIO(0x2318 + 4)
466#define VS_INVOCATION_COUNT _MMIO(0x2320)
467#define VS_INVOCATION_COUNT_UDW _MMIO(0x2320 + 4)
468#define GS_INVOCATION_COUNT _MMIO(0x2328)
469#define GS_INVOCATION_COUNT_UDW _MMIO(0x2328 + 4)
470#define GS_PRIMITIVES_COUNT _MMIO(0x2330)
471#define GS_PRIMITIVES_COUNT_UDW _MMIO(0x2330 + 4)
472#define CL_INVOCATION_COUNT _MMIO(0x2338)
473#define CL_INVOCATION_COUNT_UDW _MMIO(0x2338 + 4)
474#define CL_PRIMITIVES_COUNT _MMIO(0x2340)
475#define CL_PRIMITIVES_COUNT_UDW _MMIO(0x2340 + 4)
476#define PS_INVOCATION_COUNT _MMIO(0x2348)
477#define PS_INVOCATION_COUNT_UDW _MMIO(0x2348 + 4)
478#define PS_DEPTH_COUNT _MMIO(0x2350)
479#define PS_DEPTH_COUNT_UDW _MMIO(0x2350 + 4)
5947de9b
BV
480
481/* There are the 4 64-bit counter registers, one for each stream output */
f0f59a00
VS
482#define GEN7_SO_NUM_PRIMS_WRITTEN(n) _MMIO(0x5200 + (n) * 8)
483#define GEN7_SO_NUM_PRIMS_WRITTEN_UDW(n) _MMIO(0x5200 + (n) * 8 + 4)
5947de9b 484
f0f59a00
VS
485#define GEN7_SO_PRIM_STORAGE_NEEDED(n) _MMIO(0x5240 + (n) * 8)
486#define GEN7_SO_PRIM_STORAGE_NEEDED_UDW(n) _MMIO(0x5240 + (n) * 8 + 4)
113a0476 487
f0f59a00
VS
488#define GEN7_3DPRIM_END_OFFSET _MMIO(0x2420)
489#define GEN7_3DPRIM_START_VERTEX _MMIO(0x2430)
490#define GEN7_3DPRIM_VERTEX_COUNT _MMIO(0x2434)
491#define GEN7_3DPRIM_INSTANCE_COUNT _MMIO(0x2438)
492#define GEN7_3DPRIM_START_INSTANCE _MMIO(0x243C)
493#define GEN7_3DPRIM_BASE_VERTEX _MMIO(0x2440)
113a0476 494
f0f59a00
VS
495#define GEN7_GPGPU_DISPATCHDIMX _MMIO(0x2500)
496#define GEN7_GPGPU_DISPATCHDIMY _MMIO(0x2504)
497#define GEN7_GPGPU_DISPATCHDIMZ _MMIO(0x2508)
7b9748cb 498
1b85066b
JJ
499/* There are the 16 64-bit CS General Purpose Registers */
500#define HSW_CS_GPR(n) _MMIO(0x2600 + (n) * 8)
501#define HSW_CS_GPR_UDW(n) _MMIO(0x2600 + (n) * 8 + 4)
502
a941795a 503#define GEN7_OACONTROL _MMIO(0x2360)
d7965152
RB
504#define GEN7_OACONTROL_CTX_MASK 0xFFFFF000
505#define GEN7_OACONTROL_TIMER_PERIOD_MASK 0x3F
506#define GEN7_OACONTROL_TIMER_PERIOD_SHIFT 6
507#define GEN7_OACONTROL_TIMER_ENABLE (1<<5)
508#define GEN7_OACONTROL_FORMAT_A13 (0<<2)
509#define GEN7_OACONTROL_FORMAT_A29 (1<<2)
510#define GEN7_OACONTROL_FORMAT_A13_B8_C8 (2<<2)
511#define GEN7_OACONTROL_FORMAT_A29_B8_C8 (3<<2)
512#define GEN7_OACONTROL_FORMAT_B4_C8 (4<<2)
513#define GEN7_OACONTROL_FORMAT_A45_B8_C8 (5<<2)
514#define GEN7_OACONTROL_FORMAT_B4_C8_A16 (6<<2)
515#define GEN7_OACONTROL_FORMAT_C4_B8 (7<<2)
516#define GEN7_OACONTROL_FORMAT_SHIFT 2
517#define GEN7_OACONTROL_PER_CTX_ENABLE (1<<1)
518#define GEN7_OACONTROL_ENABLE (1<<0)
519
520#define GEN8_OACTXID _MMIO(0x2364)
521
19f81df2
RB
522#define GEN8_OA_DEBUG _MMIO(0x2B04)
523#define GEN9_OA_DEBUG_DISABLE_CLK_RATIO_REPORTS (1<<5)
524#define GEN9_OA_DEBUG_INCLUDE_CLK_RATIO (1<<6)
525#define GEN9_OA_DEBUG_DISABLE_GO_1_0_REPORTS (1<<2)
526#define GEN9_OA_DEBUG_DISABLE_CTX_SWITCH_REPORTS (1<<1)
527
d7965152
RB
528#define GEN8_OACONTROL _MMIO(0x2B00)
529#define GEN8_OA_REPORT_FORMAT_A12 (0<<2)
530#define GEN8_OA_REPORT_FORMAT_A12_B8_C8 (2<<2)
531#define GEN8_OA_REPORT_FORMAT_A36_B8_C8 (5<<2)
532#define GEN8_OA_REPORT_FORMAT_C4_B8 (7<<2)
533#define GEN8_OA_REPORT_FORMAT_SHIFT 2
534#define GEN8_OA_SPECIFIC_CONTEXT_ENABLE (1<<1)
535#define GEN8_OA_COUNTER_ENABLE (1<<0)
536
537#define GEN8_OACTXCONTROL _MMIO(0x2360)
538#define GEN8_OA_TIMER_PERIOD_MASK 0x3F
539#define GEN8_OA_TIMER_PERIOD_SHIFT 2
540#define GEN8_OA_TIMER_ENABLE (1<<1)
541#define GEN8_OA_COUNTER_RESUME (1<<0)
542
543#define GEN7_OABUFFER _MMIO(0x23B0) /* R/W */
544#define GEN7_OABUFFER_OVERRUN_DISABLE (1<<3)
545#define GEN7_OABUFFER_EDGE_TRIGGER (1<<2)
546#define GEN7_OABUFFER_STOP_RESUME_ENABLE (1<<1)
547#define GEN7_OABUFFER_RESUME (1<<0)
548
19f81df2 549#define GEN8_OABUFFER_UDW _MMIO(0x23b4)
d7965152 550#define GEN8_OABUFFER _MMIO(0x2b14)
b82ed43d 551#define GEN8_OABUFFER_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
552
553#define GEN7_OASTATUS1 _MMIO(0x2364)
554#define GEN7_OASTATUS1_TAIL_MASK 0xffffffc0
555#define GEN7_OASTATUS1_COUNTER_OVERFLOW (1<<2)
556#define GEN7_OASTATUS1_OABUFFER_OVERFLOW (1<<1)
557#define GEN7_OASTATUS1_REPORT_LOST (1<<0)
558
559#define GEN7_OASTATUS2 _MMIO(0x2368)
b82ed43d
LL
560#define GEN7_OASTATUS2_HEAD_MASK 0xffffffc0
561#define GEN7_OASTATUS2_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
562
563#define GEN8_OASTATUS _MMIO(0x2b08)
564#define GEN8_OASTATUS_OVERRUN_STATUS (1<<3)
565#define GEN8_OASTATUS_COUNTER_OVERFLOW (1<<2)
566#define GEN8_OASTATUS_OABUFFER_OVERFLOW (1<<1)
567#define GEN8_OASTATUS_REPORT_LOST (1<<0)
568
569#define GEN8_OAHEADPTR _MMIO(0x2B0C)
19f81df2 570#define GEN8_OAHEADPTR_MASK 0xffffffc0
d7965152 571#define GEN8_OATAILPTR _MMIO(0x2B10)
19f81df2 572#define GEN8_OATAILPTR_MASK 0xffffffc0
d7965152
RB
573
574#define OABUFFER_SIZE_128K (0<<3)
575#define OABUFFER_SIZE_256K (1<<3)
576#define OABUFFER_SIZE_512K (2<<3)
577#define OABUFFER_SIZE_1M (3<<3)
578#define OABUFFER_SIZE_2M (4<<3)
579#define OABUFFER_SIZE_4M (5<<3)
580#define OABUFFER_SIZE_8M (6<<3)
581#define OABUFFER_SIZE_16M (7<<3)
582
19f81df2
RB
583/*
584 * Flexible, Aggregate EU Counter Registers.
585 * Note: these aren't contiguous
586 */
d7965152 587#define EU_PERF_CNTL0 _MMIO(0xe458)
19f81df2
RB
588#define EU_PERF_CNTL1 _MMIO(0xe558)
589#define EU_PERF_CNTL2 _MMIO(0xe658)
590#define EU_PERF_CNTL3 _MMIO(0xe758)
591#define EU_PERF_CNTL4 _MMIO(0xe45c)
592#define EU_PERF_CNTL5 _MMIO(0xe55c)
593#define EU_PERF_CNTL6 _MMIO(0xe65c)
d7965152 594
d7965152
RB
595/*
596 * OA Boolean state
597 */
598
d7965152
RB
599#define OASTARTTRIG1 _MMIO(0x2710)
600#define OASTARTTRIG1_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
601#define OASTARTTRIG1_THRESHOLD_MASK 0xffff
602
603#define OASTARTTRIG2 _MMIO(0x2714)
604#define OASTARTTRIG2_INVERT_A_0 (1<<0)
605#define OASTARTTRIG2_INVERT_A_1 (1<<1)
606#define OASTARTTRIG2_INVERT_A_2 (1<<2)
607#define OASTARTTRIG2_INVERT_A_3 (1<<3)
608#define OASTARTTRIG2_INVERT_A_4 (1<<4)
609#define OASTARTTRIG2_INVERT_A_5 (1<<5)
610#define OASTARTTRIG2_INVERT_A_6 (1<<6)
611#define OASTARTTRIG2_INVERT_A_7 (1<<7)
612#define OASTARTTRIG2_INVERT_A_8 (1<<8)
613#define OASTARTTRIG2_INVERT_A_9 (1<<9)
614#define OASTARTTRIG2_INVERT_A_10 (1<<10)
615#define OASTARTTRIG2_INVERT_A_11 (1<<11)
616#define OASTARTTRIG2_INVERT_A_12 (1<<12)
617#define OASTARTTRIG2_INVERT_A_13 (1<<13)
618#define OASTARTTRIG2_INVERT_A_14 (1<<14)
619#define OASTARTTRIG2_INVERT_A_15 (1<<15)
620#define OASTARTTRIG2_INVERT_B_0 (1<<16)
621#define OASTARTTRIG2_INVERT_B_1 (1<<17)
622#define OASTARTTRIG2_INVERT_B_2 (1<<18)
623#define OASTARTTRIG2_INVERT_B_3 (1<<19)
624#define OASTARTTRIG2_INVERT_C_0 (1<<20)
625#define OASTARTTRIG2_INVERT_C_1 (1<<21)
626#define OASTARTTRIG2_INVERT_D_0 (1<<22)
627#define OASTARTTRIG2_THRESHOLD_ENABLE (1<<23)
628#define OASTARTTRIG2_START_TRIG_FLAG_MBZ (1<<24)
629#define OASTARTTRIG2_EVENT_SELECT_0 (1<<28)
630#define OASTARTTRIG2_EVENT_SELECT_1 (1<<29)
631#define OASTARTTRIG2_EVENT_SELECT_2 (1<<30)
632#define OASTARTTRIG2_EVENT_SELECT_3 (1<<31)
633
634#define OASTARTTRIG3 _MMIO(0x2718)
635#define OASTARTTRIG3_NOA_SELECT_MASK 0xf
636#define OASTARTTRIG3_NOA_SELECT_8_SHIFT 0
637#define OASTARTTRIG3_NOA_SELECT_9_SHIFT 4
638#define OASTARTTRIG3_NOA_SELECT_10_SHIFT 8
639#define OASTARTTRIG3_NOA_SELECT_11_SHIFT 12
640#define OASTARTTRIG3_NOA_SELECT_12_SHIFT 16
641#define OASTARTTRIG3_NOA_SELECT_13_SHIFT 20
642#define OASTARTTRIG3_NOA_SELECT_14_SHIFT 24
643#define OASTARTTRIG3_NOA_SELECT_15_SHIFT 28
644
645#define OASTARTTRIG4 _MMIO(0x271c)
646#define OASTARTTRIG4_NOA_SELECT_MASK 0xf
647#define OASTARTTRIG4_NOA_SELECT_0_SHIFT 0
648#define OASTARTTRIG4_NOA_SELECT_1_SHIFT 4
649#define OASTARTTRIG4_NOA_SELECT_2_SHIFT 8
650#define OASTARTTRIG4_NOA_SELECT_3_SHIFT 12
651#define OASTARTTRIG4_NOA_SELECT_4_SHIFT 16
652#define OASTARTTRIG4_NOA_SELECT_5_SHIFT 20
653#define OASTARTTRIG4_NOA_SELECT_6_SHIFT 24
654#define OASTARTTRIG4_NOA_SELECT_7_SHIFT 28
655
656#define OASTARTTRIG5 _MMIO(0x2720)
657#define OASTARTTRIG5_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
658#define OASTARTTRIG5_THRESHOLD_MASK 0xffff
659
660#define OASTARTTRIG6 _MMIO(0x2724)
661#define OASTARTTRIG6_INVERT_A_0 (1<<0)
662#define OASTARTTRIG6_INVERT_A_1 (1<<1)
663#define OASTARTTRIG6_INVERT_A_2 (1<<2)
664#define OASTARTTRIG6_INVERT_A_3 (1<<3)
665#define OASTARTTRIG6_INVERT_A_4 (1<<4)
666#define OASTARTTRIG6_INVERT_A_5 (1<<5)
667#define OASTARTTRIG6_INVERT_A_6 (1<<6)
668#define OASTARTTRIG6_INVERT_A_7 (1<<7)
669#define OASTARTTRIG6_INVERT_A_8 (1<<8)
670#define OASTARTTRIG6_INVERT_A_9 (1<<9)
671#define OASTARTTRIG6_INVERT_A_10 (1<<10)
672#define OASTARTTRIG6_INVERT_A_11 (1<<11)
673#define OASTARTTRIG6_INVERT_A_12 (1<<12)
674#define OASTARTTRIG6_INVERT_A_13 (1<<13)
675#define OASTARTTRIG6_INVERT_A_14 (1<<14)
676#define OASTARTTRIG6_INVERT_A_15 (1<<15)
677#define OASTARTTRIG6_INVERT_B_0 (1<<16)
678#define OASTARTTRIG6_INVERT_B_1 (1<<17)
679#define OASTARTTRIG6_INVERT_B_2 (1<<18)
680#define OASTARTTRIG6_INVERT_B_3 (1<<19)
681#define OASTARTTRIG6_INVERT_C_0 (1<<20)
682#define OASTARTTRIG6_INVERT_C_1 (1<<21)
683#define OASTARTTRIG6_INVERT_D_0 (1<<22)
684#define OASTARTTRIG6_THRESHOLD_ENABLE (1<<23)
685#define OASTARTTRIG6_START_TRIG_FLAG_MBZ (1<<24)
686#define OASTARTTRIG6_EVENT_SELECT_4 (1<<28)
687#define OASTARTTRIG6_EVENT_SELECT_5 (1<<29)
688#define OASTARTTRIG6_EVENT_SELECT_6 (1<<30)
689#define OASTARTTRIG6_EVENT_SELECT_7 (1<<31)
690
691#define OASTARTTRIG7 _MMIO(0x2728)
692#define OASTARTTRIG7_NOA_SELECT_MASK 0xf
693#define OASTARTTRIG7_NOA_SELECT_8_SHIFT 0
694#define OASTARTTRIG7_NOA_SELECT_9_SHIFT 4
695#define OASTARTTRIG7_NOA_SELECT_10_SHIFT 8
696#define OASTARTTRIG7_NOA_SELECT_11_SHIFT 12
697#define OASTARTTRIG7_NOA_SELECT_12_SHIFT 16
698#define OASTARTTRIG7_NOA_SELECT_13_SHIFT 20
699#define OASTARTTRIG7_NOA_SELECT_14_SHIFT 24
700#define OASTARTTRIG7_NOA_SELECT_15_SHIFT 28
701
702#define OASTARTTRIG8 _MMIO(0x272c)
703#define OASTARTTRIG8_NOA_SELECT_MASK 0xf
704#define OASTARTTRIG8_NOA_SELECT_0_SHIFT 0
705#define OASTARTTRIG8_NOA_SELECT_1_SHIFT 4
706#define OASTARTTRIG8_NOA_SELECT_2_SHIFT 8
707#define OASTARTTRIG8_NOA_SELECT_3_SHIFT 12
708#define OASTARTTRIG8_NOA_SELECT_4_SHIFT 16
709#define OASTARTTRIG8_NOA_SELECT_5_SHIFT 20
710#define OASTARTTRIG8_NOA_SELECT_6_SHIFT 24
711#define OASTARTTRIG8_NOA_SELECT_7_SHIFT 28
712
7853d92e
LL
713#define OAREPORTTRIG1 _MMIO(0x2740)
714#define OAREPORTTRIG1_THRESHOLD_MASK 0xffff
715#define OAREPORTTRIG1_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
716
717#define OAREPORTTRIG2 _MMIO(0x2744)
718#define OAREPORTTRIG2_INVERT_A_0 (1<<0)
719#define OAREPORTTRIG2_INVERT_A_1 (1<<1)
720#define OAREPORTTRIG2_INVERT_A_2 (1<<2)
721#define OAREPORTTRIG2_INVERT_A_3 (1<<3)
722#define OAREPORTTRIG2_INVERT_A_4 (1<<4)
723#define OAREPORTTRIG2_INVERT_A_5 (1<<5)
724#define OAREPORTTRIG2_INVERT_A_6 (1<<6)
725#define OAREPORTTRIG2_INVERT_A_7 (1<<7)
726#define OAREPORTTRIG2_INVERT_A_8 (1<<8)
727#define OAREPORTTRIG2_INVERT_A_9 (1<<9)
728#define OAREPORTTRIG2_INVERT_A_10 (1<<10)
729#define OAREPORTTRIG2_INVERT_A_11 (1<<11)
730#define OAREPORTTRIG2_INVERT_A_12 (1<<12)
731#define OAREPORTTRIG2_INVERT_A_13 (1<<13)
732#define OAREPORTTRIG2_INVERT_A_14 (1<<14)
733#define OAREPORTTRIG2_INVERT_A_15 (1<<15)
734#define OAREPORTTRIG2_INVERT_B_0 (1<<16)
735#define OAREPORTTRIG2_INVERT_B_1 (1<<17)
736#define OAREPORTTRIG2_INVERT_B_2 (1<<18)
737#define OAREPORTTRIG2_INVERT_B_3 (1<<19)
738#define OAREPORTTRIG2_INVERT_C_0 (1<<20)
739#define OAREPORTTRIG2_INVERT_C_1 (1<<21)
740#define OAREPORTTRIG2_INVERT_D_0 (1<<22)
741#define OAREPORTTRIG2_THRESHOLD_ENABLE (1<<23)
742#define OAREPORTTRIG2_REPORT_TRIGGER_ENABLE (1<<31)
743
744#define OAREPORTTRIG3 _MMIO(0x2748)
745#define OAREPORTTRIG3_NOA_SELECT_MASK 0xf
746#define OAREPORTTRIG3_NOA_SELECT_8_SHIFT 0
747#define OAREPORTTRIG3_NOA_SELECT_9_SHIFT 4
748#define OAREPORTTRIG3_NOA_SELECT_10_SHIFT 8
749#define OAREPORTTRIG3_NOA_SELECT_11_SHIFT 12
750#define OAREPORTTRIG3_NOA_SELECT_12_SHIFT 16
751#define OAREPORTTRIG3_NOA_SELECT_13_SHIFT 20
752#define OAREPORTTRIG3_NOA_SELECT_14_SHIFT 24
753#define OAREPORTTRIG3_NOA_SELECT_15_SHIFT 28
754
755#define OAREPORTTRIG4 _MMIO(0x274c)
756#define OAREPORTTRIG4_NOA_SELECT_MASK 0xf
757#define OAREPORTTRIG4_NOA_SELECT_0_SHIFT 0
758#define OAREPORTTRIG4_NOA_SELECT_1_SHIFT 4
759#define OAREPORTTRIG4_NOA_SELECT_2_SHIFT 8
760#define OAREPORTTRIG4_NOA_SELECT_3_SHIFT 12
761#define OAREPORTTRIG4_NOA_SELECT_4_SHIFT 16
762#define OAREPORTTRIG4_NOA_SELECT_5_SHIFT 20
763#define OAREPORTTRIG4_NOA_SELECT_6_SHIFT 24
764#define OAREPORTTRIG4_NOA_SELECT_7_SHIFT 28
765
766#define OAREPORTTRIG5 _MMIO(0x2750)
767#define OAREPORTTRIG5_THRESHOLD_MASK 0xffff
768#define OAREPORTTRIG5_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
769
770#define OAREPORTTRIG6 _MMIO(0x2754)
771#define OAREPORTTRIG6_INVERT_A_0 (1<<0)
772#define OAREPORTTRIG6_INVERT_A_1 (1<<1)
773#define OAREPORTTRIG6_INVERT_A_2 (1<<2)
774#define OAREPORTTRIG6_INVERT_A_3 (1<<3)
775#define OAREPORTTRIG6_INVERT_A_4 (1<<4)
776#define OAREPORTTRIG6_INVERT_A_5 (1<<5)
777#define OAREPORTTRIG6_INVERT_A_6 (1<<6)
778#define OAREPORTTRIG6_INVERT_A_7 (1<<7)
779#define OAREPORTTRIG6_INVERT_A_8 (1<<8)
780#define OAREPORTTRIG6_INVERT_A_9 (1<<9)
781#define OAREPORTTRIG6_INVERT_A_10 (1<<10)
782#define OAREPORTTRIG6_INVERT_A_11 (1<<11)
783#define OAREPORTTRIG6_INVERT_A_12 (1<<12)
784#define OAREPORTTRIG6_INVERT_A_13 (1<<13)
785#define OAREPORTTRIG6_INVERT_A_14 (1<<14)
786#define OAREPORTTRIG6_INVERT_A_15 (1<<15)
787#define OAREPORTTRIG6_INVERT_B_0 (1<<16)
788#define OAREPORTTRIG6_INVERT_B_1 (1<<17)
789#define OAREPORTTRIG6_INVERT_B_2 (1<<18)
790#define OAREPORTTRIG6_INVERT_B_3 (1<<19)
791#define OAREPORTTRIG6_INVERT_C_0 (1<<20)
792#define OAREPORTTRIG6_INVERT_C_1 (1<<21)
793#define OAREPORTTRIG6_INVERT_D_0 (1<<22)
794#define OAREPORTTRIG6_THRESHOLD_ENABLE (1<<23)
795#define OAREPORTTRIG6_REPORT_TRIGGER_ENABLE (1<<31)
796
797#define OAREPORTTRIG7 _MMIO(0x2758)
798#define OAREPORTTRIG7_NOA_SELECT_MASK 0xf
799#define OAREPORTTRIG7_NOA_SELECT_8_SHIFT 0
800#define OAREPORTTRIG7_NOA_SELECT_9_SHIFT 4
801#define OAREPORTTRIG7_NOA_SELECT_10_SHIFT 8
802#define OAREPORTTRIG7_NOA_SELECT_11_SHIFT 12
803#define OAREPORTTRIG7_NOA_SELECT_12_SHIFT 16
804#define OAREPORTTRIG7_NOA_SELECT_13_SHIFT 20
805#define OAREPORTTRIG7_NOA_SELECT_14_SHIFT 24
806#define OAREPORTTRIG7_NOA_SELECT_15_SHIFT 28
807
808#define OAREPORTTRIG8 _MMIO(0x275c)
809#define OAREPORTTRIG8_NOA_SELECT_MASK 0xf
810#define OAREPORTTRIG8_NOA_SELECT_0_SHIFT 0
811#define OAREPORTTRIG8_NOA_SELECT_1_SHIFT 4
812#define OAREPORTTRIG8_NOA_SELECT_2_SHIFT 8
813#define OAREPORTTRIG8_NOA_SELECT_3_SHIFT 12
814#define OAREPORTTRIG8_NOA_SELECT_4_SHIFT 16
815#define OAREPORTTRIG8_NOA_SELECT_5_SHIFT 20
816#define OAREPORTTRIG8_NOA_SELECT_6_SHIFT 24
817#define OAREPORTTRIG8_NOA_SELECT_7_SHIFT 28
818
d7965152
RB
819/* CECX_0 */
820#define OACEC_COMPARE_LESS_OR_EQUAL 6
821#define OACEC_COMPARE_NOT_EQUAL 5
822#define OACEC_COMPARE_LESS_THAN 4
823#define OACEC_COMPARE_GREATER_OR_EQUAL 3
824#define OACEC_COMPARE_EQUAL 2
825#define OACEC_COMPARE_GREATER_THAN 1
826#define OACEC_COMPARE_ANY_EQUAL 0
827
828#define OACEC_COMPARE_VALUE_MASK 0xffff
829#define OACEC_COMPARE_VALUE_SHIFT 3
830
831#define OACEC_SELECT_NOA (0<<19)
832#define OACEC_SELECT_PREV (1<<19)
833#define OACEC_SELECT_BOOLEAN (2<<19)
834
835/* CECX_1 */
836#define OACEC_MASK_MASK 0xffff
837#define OACEC_CONSIDERATIONS_MASK 0xffff
838#define OACEC_CONSIDERATIONS_SHIFT 16
839
840#define OACEC0_0 _MMIO(0x2770)
841#define OACEC0_1 _MMIO(0x2774)
842#define OACEC1_0 _MMIO(0x2778)
843#define OACEC1_1 _MMIO(0x277c)
844#define OACEC2_0 _MMIO(0x2780)
845#define OACEC2_1 _MMIO(0x2784)
846#define OACEC3_0 _MMIO(0x2788)
847#define OACEC3_1 _MMIO(0x278c)
848#define OACEC4_0 _MMIO(0x2790)
849#define OACEC4_1 _MMIO(0x2794)
850#define OACEC5_0 _MMIO(0x2798)
851#define OACEC5_1 _MMIO(0x279c)
852#define OACEC6_0 _MMIO(0x27a0)
853#define OACEC6_1 _MMIO(0x27a4)
854#define OACEC7_0 _MMIO(0x27a8)
855#define OACEC7_1 _MMIO(0x27ac)
856
f89823c2
LL
857/* OA perf counters */
858#define OA_PERFCNT1_LO _MMIO(0x91B8)
859#define OA_PERFCNT1_HI _MMIO(0x91BC)
860#define OA_PERFCNT2_LO _MMIO(0x91C0)
861#define OA_PERFCNT2_HI _MMIO(0x91C4)
95690a02
LL
862#define OA_PERFCNT3_LO _MMIO(0x91C8)
863#define OA_PERFCNT3_HI _MMIO(0x91CC)
864#define OA_PERFCNT4_LO _MMIO(0x91D8)
865#define OA_PERFCNT4_HI _MMIO(0x91DC)
f89823c2
LL
866
867#define OA_PERFMATRIX_LO _MMIO(0x91C8)
868#define OA_PERFMATRIX_HI _MMIO(0x91CC)
869
870/* RPM unit config (Gen8+) */
871#define RPM_CONFIG0 _MMIO(0x0D00)
dab91783
LL
872#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
873#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (1 << GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
874#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 0
875#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 1
d775a7b1
PZ
876#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
877#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (0x7 << GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
878#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 0
879#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 1
880#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_38_4_MHZ 2
881#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ 3
dab91783
LL
882#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT 1
883#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_MASK (0x3 << GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT)
884
f89823c2 885#define RPM_CONFIG1 _MMIO(0x0D04)
95690a02 886#define GEN10_GT_NOA_ENABLE (1 << 9)
f89823c2 887
dab91783
LL
888/* GPM unit config (Gen9+) */
889#define CTC_MODE _MMIO(0xA26C)
890#define CTC_SOURCE_PARAMETER_MASK 1
891#define CTC_SOURCE_CRYSTAL_CLOCK 0
892#define CTC_SOURCE_DIVIDE_LOGIC 1
893#define CTC_SHIFT_PARAMETER_SHIFT 1
894#define CTC_SHIFT_PARAMETER_MASK (0x3 << CTC_SHIFT_PARAMETER_SHIFT)
895
5888576b
LL
896/* RCP unit config (Gen8+) */
897#define RCP_CONFIG _MMIO(0x0D08)
f89823c2 898
a54b19f1
LL
899/* NOA (HSW) */
900#define HSW_MBVID2_NOA0 _MMIO(0x9E80)
901#define HSW_MBVID2_NOA1 _MMIO(0x9E84)
902#define HSW_MBVID2_NOA2 _MMIO(0x9E88)
903#define HSW_MBVID2_NOA3 _MMIO(0x9E8C)
904#define HSW_MBVID2_NOA4 _MMIO(0x9E90)
905#define HSW_MBVID2_NOA5 _MMIO(0x9E94)
906#define HSW_MBVID2_NOA6 _MMIO(0x9E98)
907#define HSW_MBVID2_NOA7 _MMIO(0x9E9C)
908#define HSW_MBVID2_NOA8 _MMIO(0x9EA0)
909#define HSW_MBVID2_NOA9 _MMIO(0x9EA4)
910
911#define HSW_MBVID2_MISR0 _MMIO(0x9EC0)
912
f89823c2
LL
913/* NOA (Gen8+) */
914#define NOA_CONFIG(i) _MMIO(0x0D0C + (i) * 4)
915
916#define MICRO_BP0_0 _MMIO(0x9800)
917#define MICRO_BP0_2 _MMIO(0x9804)
918#define MICRO_BP0_1 _MMIO(0x9808)
919
920#define MICRO_BP1_0 _MMIO(0x980C)
921#define MICRO_BP1_2 _MMIO(0x9810)
922#define MICRO_BP1_1 _MMIO(0x9814)
923
924#define MICRO_BP2_0 _MMIO(0x9818)
925#define MICRO_BP2_2 _MMIO(0x981C)
926#define MICRO_BP2_1 _MMIO(0x9820)
927
928#define MICRO_BP3_0 _MMIO(0x9824)
929#define MICRO_BP3_2 _MMIO(0x9828)
930#define MICRO_BP3_1 _MMIO(0x982C)
931
932#define MICRO_BP_TRIGGER _MMIO(0x9830)
933#define MICRO_BP3_COUNT_STATUS01 _MMIO(0x9834)
934#define MICRO_BP3_COUNT_STATUS23 _MMIO(0x9838)
935#define MICRO_BP_FIRED_ARMED _MMIO(0x983C)
936
937#define GDT_CHICKEN_BITS _MMIO(0x9840)
938#define GT_NOA_ENABLE 0x00000080
939
940#define NOA_DATA _MMIO(0x986C)
941#define NOA_WRITE _MMIO(0x9888)
180b813c 942
220375aa
BV
943#define _GEN7_PIPEA_DE_LOAD_SL 0x70068
944#define _GEN7_PIPEB_DE_LOAD_SL 0x71068
f0f59a00 945#define GEN7_PIPE_DE_LOAD_SL(pipe) _MMIO_PIPE(pipe, _GEN7_PIPEA_DE_LOAD_SL, _GEN7_PIPEB_DE_LOAD_SL)
220375aa 946
dc96e9b8
CW
947/*
948 * Reset registers
949 */
f0f59a00 950#define DEBUG_RESET_I830 _MMIO(0x6070)
dc96e9b8
CW
951#define DEBUG_RESET_FULL (1<<7)
952#define DEBUG_RESET_RENDER (1<<8)
953#define DEBUG_RESET_DISPLAY (1<<9)
954
57f350b6 955/*
5a09ae9f
JN
956 * IOSF sideband
957 */
f0f59a00 958#define VLV_IOSF_DOORBELL_REQ _MMIO(VLV_DISPLAY_BASE + 0x2100)
5a09ae9f
JN
959#define IOSF_DEVFN_SHIFT 24
960#define IOSF_OPCODE_SHIFT 16
961#define IOSF_PORT_SHIFT 8
962#define IOSF_BYTE_ENABLES_SHIFT 4
963#define IOSF_BAR_SHIFT 1
964#define IOSF_SB_BUSY (1<<0)
4688d45f
JN
965#define IOSF_PORT_BUNIT 0x03
966#define IOSF_PORT_PUNIT 0x04
5a09ae9f
JN
967#define IOSF_PORT_NC 0x11
968#define IOSF_PORT_DPIO 0x12
e9f882a3
JN
969#define IOSF_PORT_GPIO_NC 0x13
970#define IOSF_PORT_CCK 0x14
4688d45f
JN
971#define IOSF_PORT_DPIO_2 0x1a
972#define IOSF_PORT_FLISDSI 0x1b
dfb19ed2
D
973#define IOSF_PORT_GPIO_SC 0x48
974#define IOSF_PORT_GPIO_SUS 0xa8
4688d45f 975#define IOSF_PORT_CCU 0xa9
7071af97
JN
976#define CHV_IOSF_PORT_GPIO_N 0x13
977#define CHV_IOSF_PORT_GPIO_SE 0x48
978#define CHV_IOSF_PORT_GPIO_E 0xa8
979#define CHV_IOSF_PORT_GPIO_SW 0xb2
f0f59a00
VS
980#define VLV_IOSF_DATA _MMIO(VLV_DISPLAY_BASE + 0x2104)
981#define VLV_IOSF_ADDR _MMIO(VLV_DISPLAY_BASE + 0x2108)
5a09ae9f 982
30a970c6
JB
983/* See configdb bunit SB addr map */
984#define BUNIT_REG_BISOC 0x11
985
30a970c6 986#define PUNIT_REG_DSPFREQ 0x36
383c5a6a
VS
987#define DSPFREQSTAT_SHIFT_CHV 24
988#define DSPFREQSTAT_MASK_CHV (0x1f << DSPFREQSTAT_SHIFT_CHV)
989#define DSPFREQGUAR_SHIFT_CHV 8
990#define DSPFREQGUAR_MASK_CHV (0x1f << DSPFREQGUAR_SHIFT_CHV)
30a970c6
JB
991#define DSPFREQSTAT_SHIFT 30
992#define DSPFREQSTAT_MASK (0x3 << DSPFREQSTAT_SHIFT)
993#define DSPFREQGUAR_SHIFT 14
994#define DSPFREQGUAR_MASK (0x3 << DSPFREQGUAR_SHIFT)
cfb41411
VS
995#define DSP_MAXFIFO_PM5_STATUS (1 << 22) /* chv */
996#define DSP_AUTO_CDCLK_GATE_DISABLE (1 << 7) /* chv */
997#define DSP_MAXFIFO_PM5_ENABLE (1 << 6) /* chv */
26972b0a
VS
998#define _DP_SSC(val, pipe) ((val) << (2 * (pipe)))
999#define DP_SSC_MASK(pipe) _DP_SSC(0x3, (pipe))
1000#define DP_SSC_PWR_ON(pipe) _DP_SSC(0x0, (pipe))
1001#define DP_SSC_CLK_GATE(pipe) _DP_SSC(0x1, (pipe))
1002#define DP_SSC_RESET(pipe) _DP_SSC(0x2, (pipe))
1003#define DP_SSC_PWR_GATE(pipe) _DP_SSC(0x3, (pipe))
1004#define _DP_SSS(val, pipe) ((val) << (2 * (pipe) + 16))
1005#define DP_SSS_MASK(pipe) _DP_SSS(0x3, (pipe))
1006#define DP_SSS_PWR_ON(pipe) _DP_SSS(0x0, (pipe))
1007#define DP_SSS_CLK_GATE(pipe) _DP_SSS(0x1, (pipe))
1008#define DP_SSS_RESET(pipe) _DP_SSS(0x2, (pipe))
1009#define DP_SSS_PWR_GATE(pipe) _DP_SSS(0x3, (pipe))
a30180a5 1010
c3fdb9d8 1011/*
438b8dc4
ID
1012 * i915_power_well_id:
1013 *
1014 * Platform specific IDs used to look up power wells and - except for custom
1015 * power wells - to define request/status register flag bit positions. As such
1016 * the set of IDs on a given platform must be unique and except for custom
1017 * power wells their value must stay fixed.
1018 */
1019enum i915_power_well_id {
120b56a2
ID
1020 /*
1021 * I830
1022 * - custom power well
1023 */
1024 I830_DISP_PW_PIPES = 0,
1025
438b8dc4
ID
1026 /*
1027 * VLV/CHV
1028 * - PUNIT_REG_PWRGT_CTRL (bit: id*2),
1029 * PUNIT_REG_PWRGT_STATUS (bit: id*2) (PUNIT HAS v0.8)
1030 */
a30180a5
ID
1031 PUNIT_POWER_WELL_RENDER = 0,
1032 PUNIT_POWER_WELL_MEDIA = 1,
1033 PUNIT_POWER_WELL_DISP2D = 3,
1034 PUNIT_POWER_WELL_DPIO_CMN_BC = 5,
1035 PUNIT_POWER_WELL_DPIO_TX_B_LANES_01 = 6,
1036 PUNIT_POWER_WELL_DPIO_TX_B_LANES_23 = 7,
1037 PUNIT_POWER_WELL_DPIO_TX_C_LANES_01 = 8,
1038 PUNIT_POWER_WELL_DPIO_TX_C_LANES_23 = 9,
1039 PUNIT_POWER_WELL_DPIO_RX0 = 10,
1040 PUNIT_POWER_WELL_DPIO_RX1 = 11,
5d6f7ea7 1041 PUNIT_POWER_WELL_DPIO_CMN_D = 12,
f49193cd
ID
1042 /* - custom power well */
1043 CHV_DISP_PW_PIPE_A, /* 13 */
a30180a5 1044
fb9248e2
ID
1045 /*
1046 * HSW/BDW
9c3a16c8 1047 * - HSW_PWR_WELL_CTL_DRIVER(0) (status bit: id*2, req bit: id*2+1)
fb9248e2
ID
1048 */
1049 HSW_DISP_PW_GLOBAL = 15,
1050
438b8dc4
ID
1051 /*
1052 * GEN9+
9c3a16c8 1053 * - HSW_PWR_WELL_CTL_DRIVER(0) (status bit: id*2, req bit: id*2+1)
438b8dc4
ID
1054 */
1055 SKL_DISP_PW_MISC_IO = 0,
94dd5138 1056 SKL_DISP_PW_DDI_A_E,
0d03926d 1057 GLK_DISP_PW_DDI_A = SKL_DISP_PW_DDI_A_E,
8bcd3dd4 1058 CNL_DISP_PW_DDI_A = SKL_DISP_PW_DDI_A_E,
94dd5138
S
1059 SKL_DISP_PW_DDI_B,
1060 SKL_DISP_PW_DDI_C,
1061 SKL_DISP_PW_DDI_D,
9787e835 1062 CNL_DISP_PW_DDI_F = 6,
0d03926d
ACO
1063
1064 GLK_DISP_PW_AUX_A = 8,
1065 GLK_DISP_PW_AUX_B,
1066 GLK_DISP_PW_AUX_C,
8bcd3dd4
VS
1067 CNL_DISP_PW_AUX_A = GLK_DISP_PW_AUX_A,
1068 CNL_DISP_PW_AUX_B = GLK_DISP_PW_AUX_B,
1069 CNL_DISP_PW_AUX_C = GLK_DISP_PW_AUX_C,
1070 CNL_DISP_PW_AUX_D,
a324fcac 1071 CNL_DISP_PW_AUX_F,
0d03926d 1072
94dd5138
S
1073 SKL_DISP_PW_1 = 14,
1074 SKL_DISP_PW_2,
56fcfd63 1075
438b8dc4 1076 /* - custom power wells */
9f836f90 1077 SKL_DISP_PW_DC_OFF,
9c8d0b8e
ID
1078 BXT_DPIO_CMN_A,
1079 BXT_DPIO_CMN_BC,
438b8dc4
ID
1080 GLK_DPIO_CMN_C, /* 19 */
1081
1082 /*
1083 * Multiple platforms.
1084 * Must start following the highest ID of any platform.
1085 * - custom power wells
1086 */
1087 I915_DISP_PW_ALWAYS_ON = 20,
94dd5138
S
1088};
1089
02f4c9e0
CML
1090#define PUNIT_REG_PWRGT_CTRL 0x60
1091#define PUNIT_REG_PWRGT_STATUS 0x61
a30180a5
ID
1092#define PUNIT_PWRGT_MASK(power_well) (3 << ((power_well) * 2))
1093#define PUNIT_PWRGT_PWR_ON(power_well) (0 << ((power_well) * 2))
1094#define PUNIT_PWRGT_CLK_GATE(power_well) (1 << ((power_well) * 2))
1095#define PUNIT_PWRGT_RESET(power_well) (2 << ((power_well) * 2))
1096#define PUNIT_PWRGT_PWR_GATE(power_well) (3 << ((power_well) * 2))
02f4c9e0 1097
5a09ae9f
JN
1098#define PUNIT_REG_GPU_LFM 0xd3
1099#define PUNIT_REG_GPU_FREQ_REQ 0xd4
1100#define PUNIT_REG_GPU_FREQ_STS 0xd8
c8e9627d 1101#define GPLLENABLE (1<<4)
e8474409 1102#define GENFREQSTATUS (1<<0)
5a09ae9f 1103#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
31685c25 1104#define PUNIT_REG_CZ_TIMESTAMP 0xce
5a09ae9f
JN
1105
1106#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
1107#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
1108
095acd5f
D
1109#define FB_GFX_FMAX_AT_VMAX_FUSE 0x136
1110#define FB_GFX_FREQ_FUSE_MASK 0xff
1111#define FB_GFX_FMAX_AT_VMAX_2SS4EU_FUSE_SHIFT 24
1112#define FB_GFX_FMAX_AT_VMAX_2SS6EU_FUSE_SHIFT 16
1113#define FB_GFX_FMAX_AT_VMAX_2SS8EU_FUSE_SHIFT 8
1114
1115#define FB_GFX_FMIN_AT_VMIN_FUSE 0x137
1116#define FB_GFX_FMIN_AT_VMIN_FUSE_SHIFT 8
1117
fc1ac8de
VS
1118#define PUNIT_REG_DDR_SETUP2 0x139
1119#define FORCE_DDR_FREQ_REQ_ACK (1 << 8)
1120#define FORCE_DDR_LOW_FREQ (1 << 1)
1121#define FORCE_DDR_HIGH_FREQ (1 << 0)
1122
2b6b3a09
D
1123#define PUNIT_GPU_STATUS_REG 0xdb
1124#define PUNIT_GPU_STATUS_MAX_FREQ_SHIFT 16
1125#define PUNIT_GPU_STATUS_MAX_FREQ_MASK 0xff
1126#define PUNIT_GPU_STATIS_GFX_MIN_FREQ_SHIFT 8
1127#define PUNIT_GPU_STATUS_GFX_MIN_FREQ_MASK 0xff
1128
1129#define PUNIT_GPU_DUTYCYCLE_REG 0xdf
1130#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_SHIFT 8
1131#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_MASK 0xff
1132
5a09ae9f
JN
1133#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
1134#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
1135#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
1136#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
1137#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
1138#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
1139#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
1140#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
1141#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
1142#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
1143
3ef62342
D
1144#define VLV_TURBO_SOC_OVERRIDE 0x04
1145#define VLV_OVERRIDE_EN 1
1146#define VLV_SOC_TDP_EN (1 << 1)
1147#define VLV_BIAS_CPU_125_SOC_875 (6 << 2)
1148#define CHV_BIAS_CPU_50_SOC_50 (3 << 2)
1149
be4fc046 1150/* vlv2 north clock has */
24eb2d59
CML
1151#define CCK_FUSE_REG 0x8
1152#define CCK_FUSE_HPLL_FREQ_MASK 0x3
be4fc046 1153#define CCK_REG_DSI_PLL_FUSE 0x44
1154#define CCK_REG_DSI_PLL_CONTROL 0x48
1155#define DSI_PLL_VCO_EN (1 << 31)
1156#define DSI_PLL_LDO_GATE (1 << 30)
1157#define DSI_PLL_P1_POST_DIV_SHIFT 17
1158#define DSI_PLL_P1_POST_DIV_MASK (0x1ff << 17)
1159#define DSI_PLL_P2_MUX_DSI0_DIV2 (1 << 13)
1160#define DSI_PLL_P3_MUX_DSI1_DIV2 (1 << 12)
1161#define DSI_PLL_MUX_MASK (3 << 9)
1162#define DSI_PLL_MUX_DSI0_DSIPLL (0 << 10)
1163#define DSI_PLL_MUX_DSI0_CCK (1 << 10)
1164#define DSI_PLL_MUX_DSI1_DSIPLL (0 << 9)
1165#define DSI_PLL_MUX_DSI1_CCK (1 << 9)
1166#define DSI_PLL_CLK_GATE_MASK (0xf << 5)
1167#define DSI_PLL_CLK_GATE_DSI0_DSIPLL (1 << 8)
1168#define DSI_PLL_CLK_GATE_DSI1_DSIPLL (1 << 7)
1169#define DSI_PLL_CLK_GATE_DSI0_CCK (1 << 6)
1170#define DSI_PLL_CLK_GATE_DSI1_CCK (1 << 5)
1171#define DSI_PLL_LOCK (1 << 0)
1172#define CCK_REG_DSI_PLL_DIVIDER 0x4c
1173#define DSI_PLL_LFSR (1 << 31)
1174#define DSI_PLL_FRACTION_EN (1 << 30)
1175#define DSI_PLL_FRAC_COUNTER_SHIFT 27
1176#define DSI_PLL_FRAC_COUNTER_MASK (7 << 27)
1177#define DSI_PLL_USYNC_CNT_SHIFT 18
1178#define DSI_PLL_USYNC_CNT_MASK (0x1ff << 18)
1179#define DSI_PLL_N1_DIV_SHIFT 16
1180#define DSI_PLL_N1_DIV_MASK (3 << 16)
1181#define DSI_PLL_M1_DIV_SHIFT 0
1182#define DSI_PLL_M1_DIV_MASK (0x1ff << 0)
bfa7df01 1183#define CCK_CZ_CLOCK_CONTROL 0x62
c30fec65 1184#define CCK_GPLL_CLOCK_CONTROL 0x67
30a970c6 1185#define CCK_DISPLAY_CLOCK_CONTROL 0x6b
35d38d1f 1186#define CCK_DISPLAY_REF_CLOCK_CONTROL 0x6c
87d5d259
VK
1187#define CCK_TRUNK_FORCE_ON (1 << 17)
1188#define CCK_TRUNK_FORCE_OFF (1 << 16)
1189#define CCK_FREQUENCY_STATUS (0x1f << 8)
1190#define CCK_FREQUENCY_STATUS_SHIFT 8
1191#define CCK_FREQUENCY_VALUES (0x1f << 0)
be4fc046 1192
f38861b8 1193/* DPIO registers */
5a09ae9f 1194#define DPIO_DEVFN 0
5a09ae9f 1195
f0f59a00 1196#define DPIO_CTL _MMIO(VLV_DISPLAY_BASE + 0x2110)
57f350b6
JB
1197#define DPIO_MODSEL1 (1<<3) /* if ref clk b == 27 */
1198#define DPIO_MODSEL0 (1<<2) /* if ref clk a == 27 */
1199#define DPIO_SFR_BYPASS (1<<1)
40e9cf64 1200#define DPIO_CMNRST (1<<0)
57f350b6 1201
e4607fcf
CML
1202#define DPIO_PHY(pipe) ((pipe) >> 1)
1203#define DPIO_PHY_IOSF_PORT(phy) (dev_priv->dpio_phy_iosf_port[phy])
1204
598fac6b
DV
1205/*
1206 * Per pipe/PLL DPIO regs
1207 */
ab3c759a 1208#define _VLV_PLL_DW3_CH0 0x800c
57f350b6 1209#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
1210#define DPIO_POST_DIV_DAC 0
1211#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
1212#define DPIO_POST_DIV_LVDS1 2
1213#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
1214#define DPIO_K_SHIFT (24) /* 4 bits */
1215#define DPIO_P1_SHIFT (21) /* 3 bits */
1216#define DPIO_P2_SHIFT (16) /* 5 bits */
1217#define DPIO_N_SHIFT (12) /* 4 bits */
1218#define DPIO_ENABLE_CALIBRATION (1<<11)
1219#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
1220#define DPIO_M2DIV_MASK 0xff
ab3c759a
CML
1221#define _VLV_PLL_DW3_CH1 0x802c
1222#define VLV_PLL_DW3(ch) _PIPE(ch, _VLV_PLL_DW3_CH0, _VLV_PLL_DW3_CH1)
57f350b6 1223
ab3c759a 1224#define _VLV_PLL_DW5_CH0 0x8014
57f350b6
JB
1225#define DPIO_REFSEL_OVERRIDE 27
1226#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
1227#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
1228#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 1229#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
1230#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
1231#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
ab3c759a
CML
1232#define _VLV_PLL_DW5_CH1 0x8034
1233#define VLV_PLL_DW5(ch) _PIPE(ch, _VLV_PLL_DW5_CH0, _VLV_PLL_DW5_CH1)
57f350b6 1234
ab3c759a
CML
1235#define _VLV_PLL_DW7_CH0 0x801c
1236#define _VLV_PLL_DW7_CH1 0x803c
1237#define VLV_PLL_DW7(ch) _PIPE(ch, _VLV_PLL_DW7_CH0, _VLV_PLL_DW7_CH1)
57f350b6 1238
ab3c759a
CML
1239#define _VLV_PLL_DW8_CH0 0x8040
1240#define _VLV_PLL_DW8_CH1 0x8060
1241#define VLV_PLL_DW8(ch) _PIPE(ch, _VLV_PLL_DW8_CH0, _VLV_PLL_DW8_CH1)
598fac6b 1242
ab3c759a
CML
1243#define VLV_PLL_DW9_BCAST 0xc044
1244#define _VLV_PLL_DW9_CH0 0x8044
1245#define _VLV_PLL_DW9_CH1 0x8064
1246#define VLV_PLL_DW9(ch) _PIPE(ch, _VLV_PLL_DW9_CH0, _VLV_PLL_DW9_CH1)
598fac6b 1247
ab3c759a
CML
1248#define _VLV_PLL_DW10_CH0 0x8048
1249#define _VLV_PLL_DW10_CH1 0x8068
1250#define VLV_PLL_DW10(ch) _PIPE(ch, _VLV_PLL_DW10_CH0, _VLV_PLL_DW10_CH1)
598fac6b 1251
ab3c759a
CML
1252#define _VLV_PLL_DW11_CH0 0x804c
1253#define _VLV_PLL_DW11_CH1 0x806c
1254#define VLV_PLL_DW11(ch) _PIPE(ch, _VLV_PLL_DW11_CH0, _VLV_PLL_DW11_CH1)
57f350b6 1255
ab3c759a
CML
1256/* Spec for ref block start counts at DW10 */
1257#define VLV_REF_DW13 0x80ac
598fac6b 1258
ab3c759a 1259#define VLV_CMN_DW0 0x8100
dc96e9b8 1260
598fac6b
DV
1261/*
1262 * Per DDI channel DPIO regs
1263 */
1264
ab3c759a
CML
1265#define _VLV_PCS_DW0_CH0 0x8200
1266#define _VLV_PCS_DW0_CH1 0x8400
598fac6b
DV
1267#define DPIO_PCS_TX_LANE2_RESET (1<<16)
1268#define DPIO_PCS_TX_LANE1_RESET (1<<7)
570e2a74
VS
1269#define DPIO_LEFT_TXFIFO_RST_MASTER2 (1<<4)
1270#define DPIO_RIGHT_TXFIFO_RST_MASTER2 (1<<3)
ab3c759a 1271#define VLV_PCS_DW0(ch) _PORT(ch, _VLV_PCS_DW0_CH0, _VLV_PCS_DW0_CH1)
598fac6b 1272
97fd4d5c
VS
1273#define _VLV_PCS01_DW0_CH0 0x200
1274#define _VLV_PCS23_DW0_CH0 0x400
1275#define _VLV_PCS01_DW0_CH1 0x2600
1276#define _VLV_PCS23_DW0_CH1 0x2800
1277#define VLV_PCS01_DW0(ch) _PORT(ch, _VLV_PCS01_DW0_CH0, _VLV_PCS01_DW0_CH1)
1278#define VLV_PCS23_DW0(ch) _PORT(ch, _VLV_PCS23_DW0_CH0, _VLV_PCS23_DW0_CH1)
1279
ab3c759a
CML
1280#define _VLV_PCS_DW1_CH0 0x8204
1281#define _VLV_PCS_DW1_CH1 0x8404
d2152b25 1282#define CHV_PCS_REQ_SOFTRESET_EN (1<<23)
598fac6b
DV
1283#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1<<22)
1284#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1<<21)
1285#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
1286#define DPIO_PCS_CLK_SOFT_RESET (1<<5)
ab3c759a
CML
1287#define VLV_PCS_DW1(ch) _PORT(ch, _VLV_PCS_DW1_CH0, _VLV_PCS_DW1_CH1)
1288
97fd4d5c
VS
1289#define _VLV_PCS01_DW1_CH0 0x204
1290#define _VLV_PCS23_DW1_CH0 0x404
1291#define _VLV_PCS01_DW1_CH1 0x2604
1292#define _VLV_PCS23_DW1_CH1 0x2804
1293#define VLV_PCS01_DW1(ch) _PORT(ch, _VLV_PCS01_DW1_CH0, _VLV_PCS01_DW1_CH1)
1294#define VLV_PCS23_DW1(ch) _PORT(ch, _VLV_PCS23_DW1_CH0, _VLV_PCS23_DW1_CH1)
1295
ab3c759a
CML
1296#define _VLV_PCS_DW8_CH0 0x8220
1297#define _VLV_PCS_DW8_CH1 0x8420
9197c88b
VS
1298#define CHV_PCS_USEDCLKCHANNEL_OVRRIDE (1 << 20)
1299#define CHV_PCS_USEDCLKCHANNEL (1 << 21)
ab3c759a
CML
1300#define VLV_PCS_DW8(ch) _PORT(ch, _VLV_PCS_DW8_CH0, _VLV_PCS_DW8_CH1)
1301
1302#define _VLV_PCS01_DW8_CH0 0x0220
1303#define _VLV_PCS23_DW8_CH0 0x0420
1304#define _VLV_PCS01_DW8_CH1 0x2620
1305#define _VLV_PCS23_DW8_CH1 0x2820
1306#define VLV_PCS01_DW8(port) _PORT(port, _VLV_PCS01_DW8_CH0, _VLV_PCS01_DW8_CH1)
1307#define VLV_PCS23_DW8(port) _PORT(port, _VLV_PCS23_DW8_CH0, _VLV_PCS23_DW8_CH1)
1308
1309#define _VLV_PCS_DW9_CH0 0x8224
1310#define _VLV_PCS_DW9_CH1 0x8424
a02ef3c7
VS
1311#define DPIO_PCS_TX2MARGIN_MASK (0x7<<13)
1312#define DPIO_PCS_TX2MARGIN_000 (0<<13)
1313#define DPIO_PCS_TX2MARGIN_101 (1<<13)
1314#define DPIO_PCS_TX1MARGIN_MASK (0x7<<10)
1315#define DPIO_PCS_TX1MARGIN_000 (0<<10)
1316#define DPIO_PCS_TX1MARGIN_101 (1<<10)
ab3c759a
CML
1317#define VLV_PCS_DW9(ch) _PORT(ch, _VLV_PCS_DW9_CH0, _VLV_PCS_DW9_CH1)
1318
a02ef3c7
VS
1319#define _VLV_PCS01_DW9_CH0 0x224
1320#define _VLV_PCS23_DW9_CH0 0x424
1321#define _VLV_PCS01_DW9_CH1 0x2624
1322#define _VLV_PCS23_DW9_CH1 0x2824
1323#define VLV_PCS01_DW9(ch) _PORT(ch, _VLV_PCS01_DW9_CH0, _VLV_PCS01_DW9_CH1)
1324#define VLV_PCS23_DW9(ch) _PORT(ch, _VLV_PCS23_DW9_CH0, _VLV_PCS23_DW9_CH1)
1325
9d556c99
CML
1326#define _CHV_PCS_DW10_CH0 0x8228
1327#define _CHV_PCS_DW10_CH1 0x8428
1328#define DPIO_PCS_SWING_CALC_TX0_TX2 (1<<30)
1329#define DPIO_PCS_SWING_CALC_TX1_TX3 (1<<31)
a02ef3c7
VS
1330#define DPIO_PCS_TX2DEEMP_MASK (0xf<<24)
1331#define DPIO_PCS_TX2DEEMP_9P5 (0<<24)
1332#define DPIO_PCS_TX2DEEMP_6P0 (2<<24)
1333#define DPIO_PCS_TX1DEEMP_MASK (0xf<<16)
1334#define DPIO_PCS_TX1DEEMP_9P5 (0<<16)
1335#define DPIO_PCS_TX1DEEMP_6P0 (2<<16)
9d556c99
CML
1336#define CHV_PCS_DW10(ch) _PORT(ch, _CHV_PCS_DW10_CH0, _CHV_PCS_DW10_CH1)
1337
1966e59e
VS
1338#define _VLV_PCS01_DW10_CH0 0x0228
1339#define _VLV_PCS23_DW10_CH0 0x0428
1340#define _VLV_PCS01_DW10_CH1 0x2628
1341#define _VLV_PCS23_DW10_CH1 0x2828
1342#define VLV_PCS01_DW10(port) _PORT(port, _VLV_PCS01_DW10_CH0, _VLV_PCS01_DW10_CH1)
1343#define VLV_PCS23_DW10(port) _PORT(port, _VLV_PCS23_DW10_CH0, _VLV_PCS23_DW10_CH1)
1344
ab3c759a
CML
1345#define _VLV_PCS_DW11_CH0 0x822c
1346#define _VLV_PCS_DW11_CH1 0x842c
2e523e98 1347#define DPIO_TX2_STAGGER_MASK(x) ((x)<<24)
570e2a74
VS
1348#define DPIO_LANEDESKEW_STRAP_OVRD (1<<3)
1349#define DPIO_LEFT_TXFIFO_RST_MASTER (1<<1)
1350#define DPIO_RIGHT_TXFIFO_RST_MASTER (1<<0)
ab3c759a
CML
1351#define VLV_PCS_DW11(ch) _PORT(ch, _VLV_PCS_DW11_CH0, _VLV_PCS_DW11_CH1)
1352
570e2a74
VS
1353#define _VLV_PCS01_DW11_CH0 0x022c
1354#define _VLV_PCS23_DW11_CH0 0x042c
1355#define _VLV_PCS01_DW11_CH1 0x262c
1356#define _VLV_PCS23_DW11_CH1 0x282c
142d2eca
VS
1357#define VLV_PCS01_DW11(ch) _PORT(ch, _VLV_PCS01_DW11_CH0, _VLV_PCS01_DW11_CH1)
1358#define VLV_PCS23_DW11(ch) _PORT(ch, _VLV_PCS23_DW11_CH0, _VLV_PCS23_DW11_CH1)
570e2a74 1359
2e523e98
VS
1360#define _VLV_PCS01_DW12_CH0 0x0230
1361#define _VLV_PCS23_DW12_CH0 0x0430
1362#define _VLV_PCS01_DW12_CH1 0x2630
1363#define _VLV_PCS23_DW12_CH1 0x2830
1364#define VLV_PCS01_DW12(ch) _PORT(ch, _VLV_PCS01_DW12_CH0, _VLV_PCS01_DW12_CH1)
1365#define VLV_PCS23_DW12(ch) _PORT(ch, _VLV_PCS23_DW12_CH0, _VLV_PCS23_DW12_CH1)
1366
ab3c759a
CML
1367#define _VLV_PCS_DW12_CH0 0x8230
1368#define _VLV_PCS_DW12_CH1 0x8430
2e523e98
VS
1369#define DPIO_TX2_STAGGER_MULT(x) ((x)<<20)
1370#define DPIO_TX1_STAGGER_MULT(x) ((x)<<16)
1371#define DPIO_TX1_STAGGER_MASK(x) ((x)<<8)
1372#define DPIO_LANESTAGGER_STRAP_OVRD (1<<6)
1373#define DPIO_LANESTAGGER_STRAP(x) ((x)<<0)
ab3c759a
CML
1374#define VLV_PCS_DW12(ch) _PORT(ch, _VLV_PCS_DW12_CH0, _VLV_PCS_DW12_CH1)
1375
1376#define _VLV_PCS_DW14_CH0 0x8238
1377#define _VLV_PCS_DW14_CH1 0x8438
1378#define VLV_PCS_DW14(ch) _PORT(ch, _VLV_PCS_DW14_CH0, _VLV_PCS_DW14_CH1)
1379
1380#define _VLV_PCS_DW23_CH0 0x825c
1381#define _VLV_PCS_DW23_CH1 0x845c
1382#define VLV_PCS_DW23(ch) _PORT(ch, _VLV_PCS_DW23_CH0, _VLV_PCS_DW23_CH1)
1383
1384#define _VLV_TX_DW2_CH0 0x8288
1385#define _VLV_TX_DW2_CH1 0x8488
1fb44505
VS
1386#define DPIO_SWING_MARGIN000_SHIFT 16
1387#define DPIO_SWING_MARGIN000_MASK (0xff << DPIO_SWING_MARGIN000_SHIFT)
9d556c99 1388#define DPIO_UNIQ_TRANS_SCALE_SHIFT 8
ab3c759a
CML
1389#define VLV_TX_DW2(ch) _PORT(ch, _VLV_TX_DW2_CH0, _VLV_TX_DW2_CH1)
1390
1391#define _VLV_TX_DW3_CH0 0x828c
1392#define _VLV_TX_DW3_CH1 0x848c
9d556c99
CML
1393/* The following bit for CHV phy */
1394#define DPIO_TX_UNIQ_TRANS_SCALE_EN (1<<27)
1fb44505
VS
1395#define DPIO_SWING_MARGIN101_SHIFT 16
1396#define DPIO_SWING_MARGIN101_MASK (0xff << DPIO_SWING_MARGIN101_SHIFT)
ab3c759a
CML
1397#define VLV_TX_DW3(ch) _PORT(ch, _VLV_TX_DW3_CH0, _VLV_TX_DW3_CH1)
1398
1399#define _VLV_TX_DW4_CH0 0x8290
1400#define _VLV_TX_DW4_CH1 0x8490
9d556c99
CML
1401#define DPIO_SWING_DEEMPH9P5_SHIFT 24
1402#define DPIO_SWING_DEEMPH9P5_MASK (0xff << DPIO_SWING_DEEMPH9P5_SHIFT)
1fb44505
VS
1403#define DPIO_SWING_DEEMPH6P0_SHIFT 16
1404#define DPIO_SWING_DEEMPH6P0_MASK (0xff << DPIO_SWING_DEEMPH6P0_SHIFT)
ab3c759a
CML
1405#define VLV_TX_DW4(ch) _PORT(ch, _VLV_TX_DW4_CH0, _VLV_TX_DW4_CH1)
1406
1407#define _VLV_TX3_DW4_CH0 0x690
1408#define _VLV_TX3_DW4_CH1 0x2a90
1409#define VLV_TX3_DW4(ch) _PORT(ch, _VLV_TX3_DW4_CH0, _VLV_TX3_DW4_CH1)
1410
1411#define _VLV_TX_DW5_CH0 0x8294
1412#define _VLV_TX_DW5_CH1 0x8494
598fac6b 1413#define DPIO_TX_OCALINIT_EN (1<<31)
ab3c759a
CML
1414#define VLV_TX_DW5(ch) _PORT(ch, _VLV_TX_DW5_CH0, _VLV_TX_DW5_CH1)
1415
1416#define _VLV_TX_DW11_CH0 0x82ac
1417#define _VLV_TX_DW11_CH1 0x84ac
1418#define VLV_TX_DW11(ch) _PORT(ch, _VLV_TX_DW11_CH0, _VLV_TX_DW11_CH1)
1419
1420#define _VLV_TX_DW14_CH0 0x82b8
1421#define _VLV_TX_DW14_CH1 0x84b8
1422#define VLV_TX_DW14(ch) _PORT(ch, _VLV_TX_DW14_CH0, _VLV_TX_DW14_CH1)
b56747aa 1423
9d556c99
CML
1424/* CHV dpPhy registers */
1425#define _CHV_PLL_DW0_CH0 0x8000
1426#define _CHV_PLL_DW0_CH1 0x8180
1427#define CHV_PLL_DW0(ch) _PIPE(ch, _CHV_PLL_DW0_CH0, _CHV_PLL_DW0_CH1)
1428
1429#define _CHV_PLL_DW1_CH0 0x8004
1430#define _CHV_PLL_DW1_CH1 0x8184
1431#define DPIO_CHV_N_DIV_SHIFT 8
1432#define DPIO_CHV_M1_DIV_BY_2 (0 << 0)
1433#define CHV_PLL_DW1(ch) _PIPE(ch, _CHV_PLL_DW1_CH0, _CHV_PLL_DW1_CH1)
1434
1435#define _CHV_PLL_DW2_CH0 0x8008
1436#define _CHV_PLL_DW2_CH1 0x8188
1437#define CHV_PLL_DW2(ch) _PIPE(ch, _CHV_PLL_DW2_CH0, _CHV_PLL_DW2_CH1)
1438
1439#define _CHV_PLL_DW3_CH0 0x800c
1440#define _CHV_PLL_DW3_CH1 0x818c
1441#define DPIO_CHV_FRAC_DIV_EN (1 << 16)
1442#define DPIO_CHV_FIRST_MOD (0 << 8)
1443#define DPIO_CHV_SECOND_MOD (1 << 8)
1444#define DPIO_CHV_FEEDFWD_GAIN_SHIFT 0
a945ce7e 1445#define DPIO_CHV_FEEDFWD_GAIN_MASK (0xF << 0)
9d556c99
CML
1446#define CHV_PLL_DW3(ch) _PIPE(ch, _CHV_PLL_DW3_CH0, _CHV_PLL_DW3_CH1)
1447
1448#define _CHV_PLL_DW6_CH0 0x8018
1449#define _CHV_PLL_DW6_CH1 0x8198
1450#define DPIO_CHV_GAIN_CTRL_SHIFT 16
1451#define DPIO_CHV_INT_COEFF_SHIFT 8
1452#define DPIO_CHV_PROP_COEFF_SHIFT 0
1453#define CHV_PLL_DW6(ch) _PIPE(ch, _CHV_PLL_DW6_CH0, _CHV_PLL_DW6_CH1)
1454
d3eee4ba
VP
1455#define _CHV_PLL_DW8_CH0 0x8020
1456#define _CHV_PLL_DW8_CH1 0x81A0
9cbe40c1
VP
1457#define DPIO_CHV_TDC_TARGET_CNT_SHIFT 0
1458#define DPIO_CHV_TDC_TARGET_CNT_MASK (0x3FF << 0)
d3eee4ba
VP
1459#define CHV_PLL_DW8(ch) _PIPE(ch, _CHV_PLL_DW8_CH0, _CHV_PLL_DW8_CH1)
1460
1461#define _CHV_PLL_DW9_CH0 0x8024
1462#define _CHV_PLL_DW9_CH1 0x81A4
1463#define DPIO_CHV_INT_LOCK_THRESHOLD_SHIFT 1 /* 3 bits */
de3a0fde 1464#define DPIO_CHV_INT_LOCK_THRESHOLD_MASK (7 << 1)
d3eee4ba
VP
1465#define DPIO_CHV_INT_LOCK_THRESHOLD_SEL_COARSE 1 /* 1: coarse & 0 : fine */
1466#define CHV_PLL_DW9(ch) _PIPE(ch, _CHV_PLL_DW9_CH0, _CHV_PLL_DW9_CH1)
1467
6669e39f
VS
1468#define _CHV_CMN_DW0_CH0 0x8100
1469#define DPIO_ALLDL_POWERDOWN_SHIFT_CH0 19
1470#define DPIO_ANYDL_POWERDOWN_SHIFT_CH0 18
1471#define DPIO_ALLDL_POWERDOWN (1 << 1)
1472#define DPIO_ANYDL_POWERDOWN (1 << 0)
1473
b9e5ac3c
VS
1474#define _CHV_CMN_DW5_CH0 0x8114
1475#define CHV_BUFRIGHTENA1_DISABLE (0 << 20)
1476#define CHV_BUFRIGHTENA1_NORMAL (1 << 20)
1477#define CHV_BUFRIGHTENA1_FORCE (3 << 20)
1478#define CHV_BUFRIGHTENA1_MASK (3 << 20)
1479#define CHV_BUFLEFTENA1_DISABLE (0 << 22)
1480#define CHV_BUFLEFTENA1_NORMAL (1 << 22)
1481#define CHV_BUFLEFTENA1_FORCE (3 << 22)
1482#define CHV_BUFLEFTENA1_MASK (3 << 22)
1483
9d556c99
CML
1484#define _CHV_CMN_DW13_CH0 0x8134
1485#define _CHV_CMN_DW0_CH1 0x8080
1486#define DPIO_CHV_S1_DIV_SHIFT 21
1487#define DPIO_CHV_P1_DIV_SHIFT 13 /* 3 bits */
1488#define DPIO_CHV_P2_DIV_SHIFT 8 /* 5 bits */
1489#define DPIO_CHV_K_DIV_SHIFT 4
1490#define DPIO_PLL_FREQLOCK (1 << 1)
1491#define DPIO_PLL_LOCK (1 << 0)
1492#define CHV_CMN_DW13(ch) _PIPE(ch, _CHV_CMN_DW13_CH0, _CHV_CMN_DW0_CH1)
1493
1494#define _CHV_CMN_DW14_CH0 0x8138
1495#define _CHV_CMN_DW1_CH1 0x8084
1496#define DPIO_AFC_RECAL (1 << 14)
1497#define DPIO_DCLKP_EN (1 << 13)
b9e5ac3c
VS
1498#define CHV_BUFLEFTENA2_DISABLE (0 << 17) /* CL2 DW1 only */
1499#define CHV_BUFLEFTENA2_NORMAL (1 << 17) /* CL2 DW1 only */
1500#define CHV_BUFLEFTENA2_FORCE (3 << 17) /* CL2 DW1 only */
1501#define CHV_BUFLEFTENA2_MASK (3 << 17) /* CL2 DW1 only */
1502#define CHV_BUFRIGHTENA2_DISABLE (0 << 19) /* CL2 DW1 only */
1503#define CHV_BUFRIGHTENA2_NORMAL (1 << 19) /* CL2 DW1 only */
1504#define CHV_BUFRIGHTENA2_FORCE (3 << 19) /* CL2 DW1 only */
1505#define CHV_BUFRIGHTENA2_MASK (3 << 19) /* CL2 DW1 only */
9d556c99
CML
1506#define CHV_CMN_DW14(ch) _PIPE(ch, _CHV_CMN_DW14_CH0, _CHV_CMN_DW1_CH1)
1507
9197c88b
VS
1508#define _CHV_CMN_DW19_CH0 0x814c
1509#define _CHV_CMN_DW6_CH1 0x8098
6669e39f
VS
1510#define DPIO_ALLDL_POWERDOWN_SHIFT_CH1 30 /* CL2 DW6 only */
1511#define DPIO_ANYDL_POWERDOWN_SHIFT_CH1 29 /* CL2 DW6 only */
e0fce78f 1512#define DPIO_DYNPWRDOWNEN_CH1 (1 << 28) /* CL2 DW6 only */
9197c88b 1513#define CHV_CMN_USEDCLKCHANNEL (1 << 13)
e0fce78f 1514
9197c88b
VS
1515#define CHV_CMN_DW19(ch) _PIPE(ch, _CHV_CMN_DW19_CH0, _CHV_CMN_DW6_CH1)
1516
e0fce78f
VS
1517#define CHV_CMN_DW28 0x8170
1518#define DPIO_CL1POWERDOWNEN (1 << 23)
1519#define DPIO_DYNPWRDOWNEN_CH0 (1 << 22)
ee279218
VS
1520#define DPIO_SUS_CLK_CONFIG_ON (0 << 0)
1521#define DPIO_SUS_CLK_CONFIG_CLKREQ (1 << 0)
1522#define DPIO_SUS_CLK_CONFIG_GATE (2 << 0)
1523#define DPIO_SUS_CLK_CONFIG_GATE_CLKREQ (3 << 0)
e0fce78f 1524
9d556c99 1525#define CHV_CMN_DW30 0x8178
3e288786 1526#define DPIO_CL2_LDOFUSE_PWRENB (1 << 6)
9d556c99
CML
1527#define DPIO_LRC_BYPASS (1 << 3)
1528
1529#define _TXLANE(ch, lane, offset) ((ch ? 0x2400 : 0) + \
1530 (lane) * 0x200 + (offset))
1531
f72df8db
VS
1532#define CHV_TX_DW0(ch, lane) _TXLANE(ch, lane, 0x80)
1533#define CHV_TX_DW1(ch, lane) _TXLANE(ch, lane, 0x84)
1534#define CHV_TX_DW2(ch, lane) _TXLANE(ch, lane, 0x88)
1535#define CHV_TX_DW3(ch, lane) _TXLANE(ch, lane, 0x8c)
1536#define CHV_TX_DW4(ch, lane) _TXLANE(ch, lane, 0x90)
1537#define CHV_TX_DW5(ch, lane) _TXLANE(ch, lane, 0x94)
1538#define CHV_TX_DW6(ch, lane) _TXLANE(ch, lane, 0x98)
1539#define CHV_TX_DW7(ch, lane) _TXLANE(ch, lane, 0x9c)
1540#define CHV_TX_DW8(ch, lane) _TXLANE(ch, lane, 0xa0)
1541#define CHV_TX_DW9(ch, lane) _TXLANE(ch, lane, 0xa4)
1542#define CHV_TX_DW10(ch, lane) _TXLANE(ch, lane, 0xa8)
9d556c99
CML
1543#define CHV_TX_DW11(ch, lane) _TXLANE(ch, lane, 0xac)
1544#define DPIO_FRC_LATENCY_SHFIT 8
1545#define CHV_TX_DW14(ch, lane) _TXLANE(ch, lane, 0xb8)
1546#define DPIO_UPAR_SHIFT 30
5c6706e5
VK
1547
1548/* BXT PHY registers */
ed37892e
ACO
1549#define _BXT_PHY0_BASE 0x6C000
1550#define _BXT_PHY1_BASE 0x162000
0a116ce8
ACO
1551#define _BXT_PHY2_BASE 0x163000
1552#define BXT_PHY_BASE(phy) _PHY3((phy), _BXT_PHY0_BASE, \
1553 _BXT_PHY1_BASE, \
1554 _BXT_PHY2_BASE)
ed37892e
ACO
1555
1556#define _BXT_PHY(phy, reg) \
1557 _MMIO(BXT_PHY_BASE(phy) - _BXT_PHY0_BASE + (reg))
1558
1559#define _BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1560 (BXT_PHY_BASE(phy) + _PIPE((ch), (reg_ch0) - _BXT_PHY0_BASE, \
1561 (reg_ch1) - _BXT_PHY0_BASE))
1562#define _MMIO_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1563 _MMIO(_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1))
5c6706e5 1564
f0f59a00 1565#define BXT_P_CR_GT_DISP_PWRON _MMIO(0x138090)
1881a423 1566#define MIPIO_RST_CTRL (1 << 2)
5c6706e5 1567
e93da0a0
ID
1568#define _BXT_PHY_CTL_DDI_A 0x64C00
1569#define _BXT_PHY_CTL_DDI_B 0x64C10
1570#define _BXT_PHY_CTL_DDI_C 0x64C20
1571#define BXT_PHY_CMNLANE_POWERDOWN_ACK (1 << 10)
1572#define BXT_PHY_LANE_POWERDOWN_ACK (1 << 9)
1573#define BXT_PHY_LANE_ENABLED (1 << 8)
1574#define BXT_PHY_CTL(port) _MMIO_PORT(port, _BXT_PHY_CTL_DDI_A, \
1575 _BXT_PHY_CTL_DDI_B)
1576
5c6706e5
VK
1577#define _PHY_CTL_FAMILY_EDP 0x64C80
1578#define _PHY_CTL_FAMILY_DDI 0x64C90
0a116ce8 1579#define _PHY_CTL_FAMILY_DDI_C 0x64CA0
5c6706e5 1580#define COMMON_RESET_DIS (1 << 31)
0a116ce8
ACO
1581#define BXT_PHY_CTL_FAMILY(phy) _MMIO_PHY3((phy), _PHY_CTL_FAMILY_DDI, \
1582 _PHY_CTL_FAMILY_EDP, \
1583 _PHY_CTL_FAMILY_DDI_C)
5c6706e5 1584
dfb82408
S
1585/* BXT PHY PLL registers */
1586#define _PORT_PLL_A 0x46074
1587#define _PORT_PLL_B 0x46078
1588#define _PORT_PLL_C 0x4607c
1589#define PORT_PLL_ENABLE (1 << 31)
1590#define PORT_PLL_LOCK (1 << 30)
1591#define PORT_PLL_REF_SEL (1 << 27)
f7044dd9
MC
1592#define PORT_PLL_POWER_ENABLE (1 << 26)
1593#define PORT_PLL_POWER_STATE (1 << 25)
f0f59a00 1594#define BXT_PORT_PLL_ENABLE(port) _MMIO_PORT(port, _PORT_PLL_A, _PORT_PLL_B)
dfb82408
S
1595
1596#define _PORT_PLL_EBB_0_A 0x162034
1597#define _PORT_PLL_EBB_0_B 0x6C034
1598#define _PORT_PLL_EBB_0_C 0x6C340
aa610dcb
ID
1599#define PORT_PLL_P1_SHIFT 13
1600#define PORT_PLL_P1_MASK (0x07 << PORT_PLL_P1_SHIFT)
1601#define PORT_PLL_P1(x) ((x) << PORT_PLL_P1_SHIFT)
1602#define PORT_PLL_P2_SHIFT 8
1603#define PORT_PLL_P2_MASK (0x1f << PORT_PLL_P2_SHIFT)
1604#define PORT_PLL_P2(x) ((x) << PORT_PLL_P2_SHIFT)
ed37892e
ACO
1605#define BXT_PORT_PLL_EBB_0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1606 _PORT_PLL_EBB_0_B, \
1607 _PORT_PLL_EBB_0_C)
dfb82408
S
1608
1609#define _PORT_PLL_EBB_4_A 0x162038
1610#define _PORT_PLL_EBB_4_B 0x6C038
1611#define _PORT_PLL_EBB_4_C 0x6C344
1612#define PORT_PLL_10BIT_CLK_ENABLE (1 << 13)
1613#define PORT_PLL_RECALIBRATE (1 << 14)
ed37892e
ACO
1614#define BXT_PORT_PLL_EBB_4(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1615 _PORT_PLL_EBB_4_B, \
1616 _PORT_PLL_EBB_4_C)
dfb82408
S
1617
1618#define _PORT_PLL_0_A 0x162100
1619#define _PORT_PLL_0_B 0x6C100
1620#define _PORT_PLL_0_C 0x6C380
1621/* PORT_PLL_0_A */
1622#define PORT_PLL_M2_MASK 0xFF
1623/* PORT_PLL_1_A */
aa610dcb
ID
1624#define PORT_PLL_N_SHIFT 8
1625#define PORT_PLL_N_MASK (0x0F << PORT_PLL_N_SHIFT)
1626#define PORT_PLL_N(x) ((x) << PORT_PLL_N_SHIFT)
dfb82408
S
1627/* PORT_PLL_2_A */
1628#define PORT_PLL_M2_FRAC_MASK 0x3FFFFF
1629/* PORT_PLL_3_A */
1630#define PORT_PLL_M2_FRAC_ENABLE (1 << 16)
1631/* PORT_PLL_6_A */
1632#define PORT_PLL_PROP_COEFF_MASK 0xF
1633#define PORT_PLL_INT_COEFF_MASK (0x1F << 8)
1634#define PORT_PLL_INT_COEFF(x) ((x) << 8)
1635#define PORT_PLL_GAIN_CTL_MASK (0x07 << 16)
1636#define PORT_PLL_GAIN_CTL(x) ((x) << 16)
1637/* PORT_PLL_8_A */
1638#define PORT_PLL_TARGET_CNT_MASK 0x3FF
b6dc71f3 1639/* PORT_PLL_9_A */
05712c15
ID
1640#define PORT_PLL_LOCK_THRESHOLD_SHIFT 1
1641#define PORT_PLL_LOCK_THRESHOLD_MASK (0x7 << PORT_PLL_LOCK_THRESHOLD_SHIFT)
b6dc71f3
VK
1642/* PORT_PLL_10_A */
1643#define PORT_PLL_DCO_AMP_OVR_EN_H (1<<27)
e6292556 1644#define PORT_PLL_DCO_AMP_DEFAULT 15
b6dc71f3 1645#define PORT_PLL_DCO_AMP_MASK 0x3c00
68d97538 1646#define PORT_PLL_DCO_AMP(x) ((x)<<10)
ed37892e
ACO
1647#define _PORT_PLL_BASE(phy, ch) _BXT_PHY_CH(phy, ch, \
1648 _PORT_PLL_0_B, \
1649 _PORT_PLL_0_C)
1650#define BXT_PORT_PLL(phy, ch, idx) _MMIO(_PORT_PLL_BASE(phy, ch) + \
1651 (idx) * 4)
dfb82408 1652
5c6706e5
VK
1653/* BXT PHY common lane registers */
1654#define _PORT_CL1CM_DW0_A 0x162000
1655#define _PORT_CL1CM_DW0_BC 0x6C000
1656#define PHY_POWER_GOOD (1 << 16)
b61e7996 1657#define PHY_RESERVED (1 << 7)
ed37892e 1658#define BXT_PORT_CL1CM_DW0(phy) _BXT_PHY((phy), _PORT_CL1CM_DW0_BC)
5c6706e5 1659
d8d4a512
VS
1660#define CNL_PORT_CL1CM_DW5 _MMIO(0x162014)
1661#define CL_POWER_DOWN_ENABLE (1 << 4)
cf54ca8b 1662#define SUS_CLOCK_CONFIG (3 << 0)
d8d4a512 1663
ad186f3f
PZ
1664#define _ICL_PORT_CL_DW5_A 0x162014
1665#define _ICL_PORT_CL_DW5_B 0x6C014
1666#define ICL_PORT_CL_DW5(port) _MMIO_PORT(port, _ICL_PORT_CL_DW5_A, \
1667 _ICL_PORT_CL_DW5_B)
1668
5c6706e5
VK
1669#define _PORT_CL1CM_DW9_A 0x162024
1670#define _PORT_CL1CM_DW9_BC 0x6C024
1671#define IREF0RC_OFFSET_SHIFT 8
1672#define IREF0RC_OFFSET_MASK (0xFF << IREF0RC_OFFSET_SHIFT)
ed37892e 1673#define BXT_PORT_CL1CM_DW9(phy) _BXT_PHY((phy), _PORT_CL1CM_DW9_BC)
5c6706e5
VK
1674
1675#define _PORT_CL1CM_DW10_A 0x162028
1676#define _PORT_CL1CM_DW10_BC 0x6C028
1677#define IREF1RC_OFFSET_SHIFT 8
1678#define IREF1RC_OFFSET_MASK (0xFF << IREF1RC_OFFSET_SHIFT)
ed37892e 1679#define BXT_PORT_CL1CM_DW10(phy) _BXT_PHY((phy), _PORT_CL1CM_DW10_BC)
5c6706e5
VK
1680
1681#define _PORT_CL1CM_DW28_A 0x162070
1682#define _PORT_CL1CM_DW28_BC 0x6C070
1683#define OCL1_POWER_DOWN_EN (1 << 23)
1684#define DW28_OLDO_DYN_PWR_DOWN_EN (1 << 22)
1685#define SUS_CLK_CONFIG 0x3
ed37892e 1686#define BXT_PORT_CL1CM_DW28(phy) _BXT_PHY((phy), _PORT_CL1CM_DW28_BC)
5c6706e5
VK
1687
1688#define _PORT_CL1CM_DW30_A 0x162078
1689#define _PORT_CL1CM_DW30_BC 0x6C078
1690#define OCL2_LDOFUSE_PWR_DIS (1 << 6)
ed37892e 1691#define BXT_PORT_CL1CM_DW30(phy) _BXT_PHY((phy), _PORT_CL1CM_DW30_BC)
5c6706e5 1692
04416108
RV
1693#define _CNL_PORT_PCS_DW1_GRP_AE 0x162304
1694#define _CNL_PORT_PCS_DW1_GRP_B 0x162384
1695#define _CNL_PORT_PCS_DW1_GRP_C 0x162B04
1696#define _CNL_PORT_PCS_DW1_GRP_D 0x162B84
1697#define _CNL_PORT_PCS_DW1_GRP_F 0x162A04
1698#define _CNL_PORT_PCS_DW1_LN0_AE 0x162404
1699#define _CNL_PORT_PCS_DW1_LN0_B 0x162604
1700#define _CNL_PORT_PCS_DW1_LN0_C 0x162C04
1701#define _CNL_PORT_PCS_DW1_LN0_D 0x162E04
1702#define _CNL_PORT_PCS_DW1_LN0_F 0x162804
da9cb11f 1703#define CNL_PORT_PCS_DW1_GRP(port) _MMIO(_PICK(port, \
04416108
RV
1704 _CNL_PORT_PCS_DW1_GRP_AE, \
1705 _CNL_PORT_PCS_DW1_GRP_B, \
1706 _CNL_PORT_PCS_DW1_GRP_C, \
1707 _CNL_PORT_PCS_DW1_GRP_D, \
1708 _CNL_PORT_PCS_DW1_GRP_AE, \
da9cb11f
MK
1709 _CNL_PORT_PCS_DW1_GRP_F))
1710
1711#define CNL_PORT_PCS_DW1_LN0(port) _MMIO(_PICK(port, \
04416108
RV
1712 _CNL_PORT_PCS_DW1_LN0_AE, \
1713 _CNL_PORT_PCS_DW1_LN0_B, \
1714 _CNL_PORT_PCS_DW1_LN0_C, \
1715 _CNL_PORT_PCS_DW1_LN0_D, \
1716 _CNL_PORT_PCS_DW1_LN0_AE, \
da9cb11f 1717 _CNL_PORT_PCS_DW1_LN0_F))
5bb975de
MN
1718#define _ICL_PORT_PCS_DW1_GRP_A 0x162604
1719#define _ICL_PORT_PCS_DW1_GRP_B 0x6C604
1720#define _ICL_PORT_PCS_DW1_LN0_A 0x162804
1721#define _ICL_PORT_PCS_DW1_LN0_B 0x6C804
1722#define ICL_PORT_PCS_DW1_GRP(port) _MMIO_PORT(port,\
1723 _ICL_PORT_PCS_DW1_GRP_A, \
1724 _ICL_PORT_PCS_DW1_GRP_B)
1725#define ICL_PORT_PCS_DW1_LN0(port) _MMIO_PORT(port, \
1726 _ICL_PORT_PCS_DW1_LN0_A, \
1727 _ICL_PORT_PCS_DW1_LN0_B)
04416108
RV
1728#define COMMON_KEEPER_EN (1 << 26)
1729
4635b573
MK
1730/* CNL Port TX registers */
1731#define _CNL_PORT_TX_AE_GRP_OFFSET 0x162340
1732#define _CNL_PORT_TX_B_GRP_OFFSET 0x1623C0
1733#define _CNL_PORT_TX_C_GRP_OFFSET 0x162B40
1734#define _CNL_PORT_TX_D_GRP_OFFSET 0x162BC0
1735#define _CNL_PORT_TX_F_GRP_OFFSET 0x162A40
1736#define _CNL_PORT_TX_AE_LN0_OFFSET 0x162440
1737#define _CNL_PORT_TX_B_LN0_OFFSET 0x162640
1738#define _CNL_PORT_TX_C_LN0_OFFSET 0x162C40
1739#define _CNL_PORT_TX_D_LN0_OFFSET 0x162E40
1740#define _CNL_PORT_TX_F_LN0_OFFSET 0x162840
1741#define _CNL_PORT_TX_DW_GRP(port, dw) (_PICK((port), \
1742 _CNL_PORT_TX_AE_GRP_OFFSET, \
1743 _CNL_PORT_TX_B_GRP_OFFSET, \
1744 _CNL_PORT_TX_B_GRP_OFFSET, \
1745 _CNL_PORT_TX_D_GRP_OFFSET, \
1746 _CNL_PORT_TX_AE_GRP_OFFSET, \
1747 _CNL_PORT_TX_F_GRP_OFFSET) + \
1748 4*(dw))
1749#define _CNL_PORT_TX_DW_LN0(port, dw) (_PICK((port), \
1750 _CNL_PORT_TX_AE_LN0_OFFSET, \
1751 _CNL_PORT_TX_B_LN0_OFFSET, \
1752 _CNL_PORT_TX_B_LN0_OFFSET, \
1753 _CNL_PORT_TX_D_LN0_OFFSET, \
1754 _CNL_PORT_TX_AE_LN0_OFFSET, \
1755 _CNL_PORT_TX_F_LN0_OFFSET) + \
1756 4*(dw))
1757
1758#define CNL_PORT_TX_DW2_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP((port), 2))
1759#define CNL_PORT_TX_DW2_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0((port), 2))
5bb975de
MN
1760#define _ICL_PORT_TX_DW2_GRP_A 0x162688
1761#define _ICL_PORT_TX_DW2_GRP_B 0x6C688
1762#define _ICL_PORT_TX_DW2_LN0_A 0x162888
1763#define _ICL_PORT_TX_DW2_LN0_B 0x6C888
1764#define ICL_PORT_TX_DW2_GRP(port) _MMIO_PORT(port, \
1765 _ICL_PORT_TX_DW2_GRP_A, \
1766 _ICL_PORT_TX_DW2_GRP_B)
1767#define ICL_PORT_TX_DW2_LN0(port) _MMIO_PORT(port, \
1768 _ICL_PORT_TX_DW2_LN0_A, \
1769 _ICL_PORT_TX_DW2_LN0_B)
7487508e 1770#define SWING_SEL_UPPER(x) (((x) >> 3) << 15)
1f588aeb 1771#define SWING_SEL_UPPER_MASK (1 << 15)
7487508e 1772#define SWING_SEL_LOWER(x) (((x) & 0x7) << 11)
1f588aeb 1773#define SWING_SEL_LOWER_MASK (0x7 << 11)
04416108 1774#define RCOMP_SCALAR(x) ((x) << 0)
1f588aeb 1775#define RCOMP_SCALAR_MASK (0xFF << 0)
04416108 1776
04416108
RV
1777#define _CNL_PORT_TX_DW4_LN0_AE 0x162450
1778#define _CNL_PORT_TX_DW4_LN1_AE 0x1624D0
4635b573
MK
1779#define CNL_PORT_TX_DW4_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP((port), 4))
1780#define CNL_PORT_TX_DW4_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0((port), 4))
1781#define CNL_PORT_TX_DW4_LN(port, ln) _MMIO(_CNL_PORT_TX_DW_LN0((port), 4) + \
1782 (ln * (_CNL_PORT_TX_DW4_LN1_AE - \
1783 _CNL_PORT_TX_DW4_LN0_AE)))
5bb975de
MN
1784#define _ICL_PORT_TX_DW4_GRP_A 0x162690
1785#define _ICL_PORT_TX_DW4_GRP_B 0x6C690
1786#define _ICL_PORT_TX_DW4_LN0_A 0x162890
1787#define _ICL_PORT_TX_DW4_LN1_A 0x162990
1788#define _ICL_PORT_TX_DW4_LN0_B 0x6C890
1789#define ICL_PORT_TX_DW4_GRP(port) _MMIO_PORT(port, \
1790 _ICL_PORT_TX_DW4_GRP_A, \
1791 _ICL_PORT_TX_DW4_GRP_B)
1792#define ICL_PORT_TX_DW4_LN(port, ln) _MMIO(_PORT(port, \
1793 _ICL_PORT_TX_DW4_LN0_A, \
1794 _ICL_PORT_TX_DW4_LN0_B) + \
1795 (ln * (_ICL_PORT_TX_DW4_LN1_A - \
1796 _ICL_PORT_TX_DW4_LN0_A)))
04416108
RV
1797#define LOADGEN_SELECT (1 << 31)
1798#define POST_CURSOR_1(x) ((x) << 12)
1f588aeb 1799#define POST_CURSOR_1_MASK (0x3F << 12)
04416108 1800#define POST_CURSOR_2(x) ((x) << 6)
1f588aeb 1801#define POST_CURSOR_2_MASK (0x3F << 6)
04416108 1802#define CURSOR_COEFF(x) ((x) << 0)
fcace3b9 1803#define CURSOR_COEFF_MASK (0x3F << 0)
04416108 1804
4635b573
MK
1805#define CNL_PORT_TX_DW5_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP((port), 5))
1806#define CNL_PORT_TX_DW5_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0((port), 5))
5bb975de
MN
1807#define _ICL_PORT_TX_DW5_GRP_A 0x162694
1808#define _ICL_PORT_TX_DW5_GRP_B 0x6C694
1809#define _ICL_PORT_TX_DW5_LN0_A 0x162894
1810#define _ICL_PORT_TX_DW5_LN0_B 0x6C894
1811#define ICL_PORT_TX_DW5_GRP(port) _MMIO_PORT(port, \
1812 _ICL_PORT_TX_DW5_GRP_A, \
1813 _ICL_PORT_TX_DW5_GRP_B)
1814#define ICL_PORT_TX_DW5_LN0(port) _MMIO_PORT(port, \
1815 _ICL_PORT_TX_DW5_LN0_A, \
1816 _ICL_PORT_TX_DW5_LN0_B)
04416108 1817#define TX_TRAINING_EN (1 << 31)
5bb975de 1818#define TAP2_DISABLE (1 << 30)
04416108
RV
1819#define TAP3_DISABLE (1 << 29)
1820#define SCALING_MODE_SEL(x) ((x) << 18)
1f588aeb 1821#define SCALING_MODE_SEL_MASK (0x7 << 18)
04416108 1822#define RTERM_SELECT(x) ((x) << 3)
1f588aeb 1823#define RTERM_SELECT_MASK (0x7 << 3)
04416108 1824
4635b573
MK
1825#define CNL_PORT_TX_DW7_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP((port), 7))
1826#define CNL_PORT_TX_DW7_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0((port), 7))
04416108 1827#define N_SCALAR(x) ((x) << 24)
1f588aeb 1828#define N_SCALAR_MASK (0x7F << 24)
04416108 1829
c92f47b5
MN
1830#define _ICL_MG_PHY_PORT_LN(port, ln, ln0p1, ln0p2, ln1p1) \
1831 _MMIO(_PORT((port) - PORT_C, ln0p1, ln0p2) + (ln) * ((ln1p1) - (ln0p1)))
1832
1833#define _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT1 0x16812C
1834#define _ICL_MG_TX_LINK_PARAMS_TX1LN1_PORT1 0x16852C
1835#define _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT2 0x16912C
1836#define _ICL_MG_TX_LINK_PARAMS_TX1LN1_PORT2 0x16952C
1837#define _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT3 0x16A12C
1838#define _ICL_MG_TX_LINK_PARAMS_TX1LN1_PORT3 0x16A52C
1839#define _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT4 0x16B12C
1840#define _ICL_MG_TX_LINK_PARAMS_TX1LN1_PORT4 0x16B52C
1841#define ICL_PORT_MG_TX1_LINK_PARAMS(port, ln) \
1842 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT1, \
1843 _ICL_MG_TX_LINK_PARAMS_TX1LN0_PORT2, \
1844 _ICL_MG_TX_LINK_PARAMS_TX1LN1_PORT1)
1845
1846#define _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT1 0x1680AC
1847#define _ICL_MG_TX_LINK_PARAMS_TX2LN1_PORT1 0x1684AC
1848#define _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT2 0x1690AC
1849#define _ICL_MG_TX_LINK_PARAMS_TX2LN1_PORT2 0x1694AC
1850#define _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT3 0x16A0AC
1851#define _ICL_MG_TX_LINK_PARAMS_TX2LN1_PORT3 0x16A4AC
1852#define _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT4 0x16B0AC
1853#define _ICL_MG_TX_LINK_PARAMS_TX2LN1_PORT4 0x16B4AC
1854#define ICL_PORT_MG_TX2_LINK_PARAMS(port, ln) \
1855 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT1, \
1856 _ICL_MG_TX_LINK_PARAMS_TX2LN0_PORT2, \
1857 _ICL_MG_TX_LINK_PARAMS_TX2LN1_PORT1)
1858#define CRI_USE_FS32 (1 << 5)
1859
1860#define _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT1 0x16814C
1861#define _ICL_MG_TX_PISO_READLOAD_TX1LN1_PORT1 0x16854C
1862#define _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT2 0x16914C
1863#define _ICL_MG_TX_PISO_READLOAD_TX1LN1_PORT2 0x16954C
1864#define _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT3 0x16A14C
1865#define _ICL_MG_TX_PISO_READLOAD_TX1LN1_PORT3 0x16A54C
1866#define _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT4 0x16B14C
1867#define _ICL_MG_TX_PISO_READLOAD_TX1LN1_PORT4 0x16B54C
1868#define ICL_PORT_MG_TX1_PISO_READLOAD(port, ln) \
1869 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT1, \
1870 _ICL_MG_TX_PISO_READLOAD_TX1LN0_PORT2, \
1871 _ICL_MG_TX_PISO_READLOAD_TX1LN1_PORT1)
1872
1873#define _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT1 0x1680CC
1874#define _ICL_MG_TX_PISO_READLOAD_TX2LN1_PORT1 0x1684CC
1875#define _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT2 0x1690CC
1876#define _ICL_MG_TX_PISO_READLOAD_TX2LN1_PORT2 0x1694CC
1877#define _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT3 0x16A0CC
1878#define _ICL_MG_TX_PISO_READLOAD_TX2LN1_PORT3 0x16A4CC
1879#define _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT4 0x16B0CC
1880#define _ICL_MG_TX_PISO_READLOAD_TX2LN1_PORT4 0x16B4CC
1881#define ICL_PORT_MG_TX2_PISO_READLOAD(port, ln) \
1882 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT1, \
1883 _ICL_MG_TX_PISO_READLOAD_TX2LN0_PORT2, \
1884 _ICL_MG_TX_PISO_READLOAD_TX2LN1_PORT1)
1885#define CRI_CALCINIT (1 << 1)
1886
1887#define _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT1 0x168148
1888#define _ICL_MG_TX_SWINGCTRL_TX1LN1_PORT1 0x168548
1889#define _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT2 0x169148
1890#define _ICL_MG_TX_SWINGCTRL_TX1LN1_PORT2 0x169548
1891#define _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT3 0x16A148
1892#define _ICL_MG_TX_SWINGCTRL_TX1LN1_PORT3 0x16A548
1893#define _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT4 0x16B148
1894#define _ICL_MG_TX_SWINGCTRL_TX1LN1_PORT4 0x16B548
1895#define ICL_PORT_MG_TX1_SWINGCTRL(port, ln) \
1896 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT1, \
1897 _ICL_MG_TX_SWINGCTRL_TX1LN0_PORT2, \
1898 _ICL_MG_TX_SWINGCTRL_TX1LN1_PORT1)
1899
1900#define _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT1 0x1680C8
1901#define _ICL_MG_TX_SWINGCTRL_TX2LN1_PORT1 0x1684C8
1902#define _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT2 0x1690C8
1903#define _ICL_MG_TX_SWINGCTRL_TX2LN1_PORT2 0x1694C8
1904#define _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT3 0x16A0C8
1905#define _ICL_MG_TX_SWINGCTRL_TX2LN1_PORT3 0x16A4C8
1906#define _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT4 0x16B0C8
1907#define _ICL_MG_TX_SWINGCTRL_TX2LN1_PORT4 0x16B4C8
1908#define ICL_PORT_MG_TX2_SWINGCTRL(port, ln) \
1909 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT1, \
1910 _ICL_MG_TX_SWINGCTRL_TX2LN0_PORT2, \
1911 _ICL_MG_TX_SWINGCTRL_TX2LN1_PORT1)
1912#define CRI_TXDEEMPH_OVERRIDE_17_12(x) ((x) << 0)
1913#define CRI_TXDEEMPH_OVERRIDE_17_12_MASK (0x3F << 0)
1914
1915#define _ICL_MG_TX_DRVCTRL_TX1LN0_PORT1 0x168144
1916#define _ICL_MG_TX_DRVCTRL_TX1LN1_PORT1 0x168544
1917#define _ICL_MG_TX_DRVCTRL_TX1LN0_PORT2 0x169144
1918#define _ICL_MG_TX_DRVCTRL_TX1LN1_PORT2 0x169544
1919#define _ICL_MG_TX_DRVCTRL_TX1LN0_PORT3 0x16A144
1920#define _ICL_MG_TX_DRVCTRL_TX1LN1_PORT3 0x16A544
1921#define _ICL_MG_TX_DRVCTRL_TX1LN0_PORT4 0x16B144
1922#define _ICL_MG_TX_DRVCTRL_TX1LN1_PORT4 0x16B544
1923#define ICL_PORT_MG_TX1_DRVCTRL(port, ln) \
1924 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_DRVCTRL_TX1LN0_PORT1, \
1925 _ICL_MG_TX_DRVCTRL_TX1LN0_PORT2, \
1926 _ICL_MG_TX_DRVCTRL_TX1LN1_PORT1)
1927
1928#define _ICL_MG_TX_DRVCTRL_TX2LN0_PORT1 0x1680C4
1929#define _ICL_MG_TX_DRVCTRL_TX2LN1_PORT1 0x1684C4
1930#define _ICL_MG_TX_DRVCTRL_TX2LN0_PORT2 0x1690C4
1931#define _ICL_MG_TX_DRVCTRL_TX2LN1_PORT2 0x1694C4
1932#define _ICL_MG_TX_DRVCTRL_TX2LN0_PORT3 0x16A0C4
1933#define _ICL_MG_TX_DRVCTRL_TX2LN1_PORT3 0x16A4C4
1934#define _ICL_MG_TX_DRVCTRL_TX2LN0_PORT4 0x16B0C4
1935#define _ICL_MG_TX_DRVCTRL_TX2LN1_PORT4 0x16B4C4
1936#define ICL_PORT_MG_TX2_DRVCTRL(port, ln) \
1937 _ICL_MG_PHY_PORT_LN(port, ln, _ICL_MG_TX_DRVCTRL_TX2LN0_PORT1, \
1938 _ICL_MG_TX_DRVCTRL_TX2LN0_PORT2, \
1939 _ICL_MG_TX_DRVCTRL_TX2LN1_PORT1)
1940#define CRI_TXDEEMPH_OVERRIDE_11_6(x) ((x) << 24)
1941#define CRI_TXDEEMPH_OVERRIDE_11_6_MASK (0x3F << 24)
1942#define CRI_TXDEEMPH_OVERRIDE_EN (1 << 22)
1943#define CRI_TXDEEMPH_OVERRIDE_5_0(x) ((x) << 16)
1944#define CRI_TXDEEMPH_OVERRIDE_5_0_MASK (0x3F << 16)
1945
842d4166
ACO
1946/* The spec defines this only for BXT PHY0, but lets assume that this
1947 * would exist for PHY1 too if it had a second channel.
1948 */
1949#define _PORT_CL2CM_DW6_A 0x162358
1950#define _PORT_CL2CM_DW6_BC 0x6C358
ed37892e 1951#define BXT_PORT_CL2CM_DW6(phy) _BXT_PHY((phy), _PORT_CL2CM_DW6_BC)
5c6706e5
VK
1952#define DW6_OLDO_DYN_PWR_DOWN_EN (1 << 28)
1953
d8d4a512
VS
1954#define CNL_PORT_COMP_DW0 _MMIO(0x162100)
1955#define COMP_INIT (1 << 31)
1956#define CNL_PORT_COMP_DW1 _MMIO(0x162104)
1957#define CNL_PORT_COMP_DW3 _MMIO(0x16210c)
1958#define PROCESS_INFO_DOT_0 (0 << 26)
1959#define PROCESS_INFO_DOT_1 (1 << 26)
1960#define PROCESS_INFO_DOT_4 (2 << 26)
1961#define PROCESS_INFO_MASK (7 << 26)
1962#define PROCESS_INFO_SHIFT 26
1963#define VOLTAGE_INFO_0_85V (0 << 24)
1964#define VOLTAGE_INFO_0_95V (1 << 24)
1965#define VOLTAGE_INFO_1_05V (2 << 24)
1966#define VOLTAGE_INFO_MASK (3 << 24)
1967#define VOLTAGE_INFO_SHIFT 24
1968#define CNL_PORT_COMP_DW9 _MMIO(0x162124)
1969#define CNL_PORT_COMP_DW10 _MMIO(0x162128)
1970
62d4a5e1
PZ
1971#define _ICL_PORT_COMP_DW0_A 0x162100
1972#define _ICL_PORT_COMP_DW0_B 0x6C100
1973#define ICL_PORT_COMP_DW0(port) _MMIO_PORT(port, _ICL_PORT_COMP_DW0_A, \
1974 _ICL_PORT_COMP_DW0_B)
1975#define _ICL_PORT_COMP_DW1_A 0x162104
1976#define _ICL_PORT_COMP_DW1_B 0x6C104
1977#define ICL_PORT_COMP_DW1(port) _MMIO_PORT(port, _ICL_PORT_COMP_DW1_A, \
1978 _ICL_PORT_COMP_DW1_B)
1979#define _ICL_PORT_COMP_DW3_A 0x16210C
1980#define _ICL_PORT_COMP_DW3_B 0x6C10C
1981#define ICL_PORT_COMP_DW3(port) _MMIO_PORT(port, _ICL_PORT_COMP_DW3_A, \
1982 _ICL_PORT_COMP_DW3_B)
1983#define _ICL_PORT_COMP_DW9_A 0x162124
1984#define _ICL_PORT_COMP_DW9_B 0x6C124
1985#define ICL_PORT_COMP_DW9(port) _MMIO_PORT(port, _ICL_PORT_COMP_DW9_A, \
1986 _ICL_PORT_COMP_DW9_B)
1987#define _ICL_PORT_COMP_DW10_A 0x162128
1988#define _ICL_PORT_COMP_DW10_B 0x6C128
1989#define ICL_PORT_COMP_DW10(port) _MMIO_PORT(port, \
1990 _ICL_PORT_COMP_DW10_A, \
1991 _ICL_PORT_COMP_DW10_B)
1992
5c6706e5
VK
1993/* BXT PHY Ref registers */
1994#define _PORT_REF_DW3_A 0x16218C
1995#define _PORT_REF_DW3_BC 0x6C18C
1996#define GRC_DONE (1 << 22)
ed37892e 1997#define BXT_PORT_REF_DW3(phy) _BXT_PHY((phy), _PORT_REF_DW3_BC)
5c6706e5
VK
1998
1999#define _PORT_REF_DW6_A 0x162198
2000#define _PORT_REF_DW6_BC 0x6C198
d1e082ff
ID
2001#define GRC_CODE_SHIFT 24
2002#define GRC_CODE_MASK (0xFF << GRC_CODE_SHIFT)
5c6706e5 2003#define GRC_CODE_FAST_SHIFT 16
d1e082ff 2004#define GRC_CODE_FAST_MASK (0xFF << GRC_CODE_FAST_SHIFT)
5c6706e5
VK
2005#define GRC_CODE_SLOW_SHIFT 8
2006#define GRC_CODE_SLOW_MASK (0xFF << GRC_CODE_SLOW_SHIFT)
2007#define GRC_CODE_NOM_MASK 0xFF
ed37892e 2008#define BXT_PORT_REF_DW6(phy) _BXT_PHY((phy), _PORT_REF_DW6_BC)
5c6706e5
VK
2009
2010#define _PORT_REF_DW8_A 0x1621A0
2011#define _PORT_REF_DW8_BC 0x6C1A0
2012#define GRC_DIS (1 << 15)
2013#define GRC_RDY_OVRD (1 << 1)
ed37892e 2014#define BXT_PORT_REF_DW8(phy) _BXT_PHY((phy), _PORT_REF_DW8_BC)
5c6706e5 2015
dfb82408 2016/* BXT PHY PCS registers */
96fb9f9b
VK
2017#define _PORT_PCS_DW10_LN01_A 0x162428
2018#define _PORT_PCS_DW10_LN01_B 0x6C428
2019#define _PORT_PCS_DW10_LN01_C 0x6C828
2020#define _PORT_PCS_DW10_GRP_A 0x162C28
2021#define _PORT_PCS_DW10_GRP_B 0x6CC28
2022#define _PORT_PCS_DW10_GRP_C 0x6CE28
ed37892e
ACO
2023#define BXT_PORT_PCS_DW10_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2024 _PORT_PCS_DW10_LN01_B, \
2025 _PORT_PCS_DW10_LN01_C)
2026#define BXT_PORT_PCS_DW10_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2027 _PORT_PCS_DW10_GRP_B, \
2028 _PORT_PCS_DW10_GRP_C)
2029
96fb9f9b
VK
2030#define TX2_SWING_CALC_INIT (1 << 31)
2031#define TX1_SWING_CALC_INIT (1 << 30)
2032
dfb82408
S
2033#define _PORT_PCS_DW12_LN01_A 0x162430
2034#define _PORT_PCS_DW12_LN01_B 0x6C430
2035#define _PORT_PCS_DW12_LN01_C 0x6C830
2036#define _PORT_PCS_DW12_LN23_A 0x162630
2037#define _PORT_PCS_DW12_LN23_B 0x6C630
2038#define _PORT_PCS_DW12_LN23_C 0x6CA30
2039#define _PORT_PCS_DW12_GRP_A 0x162c30
2040#define _PORT_PCS_DW12_GRP_B 0x6CC30
2041#define _PORT_PCS_DW12_GRP_C 0x6CE30
2042#define LANESTAGGER_STRAP_OVRD (1 << 6)
2043#define LANE_STAGGER_MASK 0x1F
ed37892e
ACO
2044#define BXT_PORT_PCS_DW12_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2045 _PORT_PCS_DW12_LN01_B, \
2046 _PORT_PCS_DW12_LN01_C)
2047#define BXT_PORT_PCS_DW12_LN23(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2048 _PORT_PCS_DW12_LN23_B, \
2049 _PORT_PCS_DW12_LN23_C)
2050#define BXT_PORT_PCS_DW12_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2051 _PORT_PCS_DW12_GRP_B, \
2052 _PORT_PCS_DW12_GRP_C)
dfb82408 2053
5c6706e5
VK
2054/* BXT PHY TX registers */
2055#define _BXT_LANE_OFFSET(lane) (((lane) >> 1) * 0x200 + \
2056 ((lane) & 1) * 0x80)
2057
96fb9f9b
VK
2058#define _PORT_TX_DW2_LN0_A 0x162508
2059#define _PORT_TX_DW2_LN0_B 0x6C508
2060#define _PORT_TX_DW2_LN0_C 0x6C908
2061#define _PORT_TX_DW2_GRP_A 0x162D08
2062#define _PORT_TX_DW2_GRP_B 0x6CD08
2063#define _PORT_TX_DW2_GRP_C 0x6CF08
ed37892e
ACO
2064#define BXT_PORT_TX_DW2_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2065 _PORT_TX_DW2_LN0_B, \
2066 _PORT_TX_DW2_LN0_C)
2067#define BXT_PORT_TX_DW2_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2068 _PORT_TX_DW2_GRP_B, \
2069 _PORT_TX_DW2_GRP_C)
96fb9f9b
VK
2070#define MARGIN_000_SHIFT 16
2071#define MARGIN_000 (0xFF << MARGIN_000_SHIFT)
2072#define UNIQ_TRANS_SCALE_SHIFT 8
2073#define UNIQ_TRANS_SCALE (0xFF << UNIQ_TRANS_SCALE_SHIFT)
2074
2075#define _PORT_TX_DW3_LN0_A 0x16250C
2076#define _PORT_TX_DW3_LN0_B 0x6C50C
2077#define _PORT_TX_DW3_LN0_C 0x6C90C
2078#define _PORT_TX_DW3_GRP_A 0x162D0C
2079#define _PORT_TX_DW3_GRP_B 0x6CD0C
2080#define _PORT_TX_DW3_GRP_C 0x6CF0C
ed37892e
ACO
2081#define BXT_PORT_TX_DW3_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2082 _PORT_TX_DW3_LN0_B, \
2083 _PORT_TX_DW3_LN0_C)
2084#define BXT_PORT_TX_DW3_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2085 _PORT_TX_DW3_GRP_B, \
2086 _PORT_TX_DW3_GRP_C)
9c58a049
SJ
2087#define SCALE_DCOMP_METHOD (1 << 26)
2088#define UNIQUE_TRANGE_EN_METHOD (1 << 27)
96fb9f9b
VK
2089
2090#define _PORT_TX_DW4_LN0_A 0x162510
2091#define _PORT_TX_DW4_LN0_B 0x6C510
2092#define _PORT_TX_DW4_LN0_C 0x6C910
2093#define _PORT_TX_DW4_GRP_A 0x162D10
2094#define _PORT_TX_DW4_GRP_B 0x6CD10
2095#define _PORT_TX_DW4_GRP_C 0x6CF10
ed37892e
ACO
2096#define BXT_PORT_TX_DW4_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2097 _PORT_TX_DW4_LN0_B, \
2098 _PORT_TX_DW4_LN0_C)
2099#define BXT_PORT_TX_DW4_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2100 _PORT_TX_DW4_GRP_B, \
2101 _PORT_TX_DW4_GRP_C)
96fb9f9b
VK
2102#define DEEMPH_SHIFT 24
2103#define DE_EMPHASIS (0xFF << DEEMPH_SHIFT)
2104
51b3ee35
ACO
2105#define _PORT_TX_DW5_LN0_A 0x162514
2106#define _PORT_TX_DW5_LN0_B 0x6C514
2107#define _PORT_TX_DW5_LN0_C 0x6C914
2108#define _PORT_TX_DW5_GRP_A 0x162D14
2109#define _PORT_TX_DW5_GRP_B 0x6CD14
2110#define _PORT_TX_DW5_GRP_C 0x6CF14
2111#define BXT_PORT_TX_DW5_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2112 _PORT_TX_DW5_LN0_B, \
2113 _PORT_TX_DW5_LN0_C)
2114#define BXT_PORT_TX_DW5_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2115 _PORT_TX_DW5_GRP_B, \
2116 _PORT_TX_DW5_GRP_C)
2117#define DCC_DELAY_RANGE_1 (1 << 9)
2118#define DCC_DELAY_RANGE_2 (1 << 8)
2119
5c6706e5
VK
2120#define _PORT_TX_DW14_LN0_A 0x162538
2121#define _PORT_TX_DW14_LN0_B 0x6C538
2122#define _PORT_TX_DW14_LN0_C 0x6C938
2123#define LATENCY_OPTIM_SHIFT 30
2124#define LATENCY_OPTIM (1 << LATENCY_OPTIM_SHIFT)
ed37892e
ACO
2125#define BXT_PORT_TX_DW14_LN(phy, ch, lane) \
2126 _MMIO(_BXT_PHY_CH(phy, ch, _PORT_TX_DW14_LN0_B, \
2127 _PORT_TX_DW14_LN0_C) + \
2128 _BXT_LANE_OFFSET(lane))
5c6706e5 2129
f8896f5d 2130/* UAIMI scratch pad register 1 */
f0f59a00 2131#define UAIMI_SPR1 _MMIO(0x4F074)
f8896f5d
DW
2132/* SKL VccIO mask */
2133#define SKL_VCCIO_MASK 0x1
2134/* SKL balance leg register */
f0f59a00 2135#define DISPIO_CR_TX_BMU_CR0 _MMIO(0x6C00C)
f8896f5d
DW
2136/* I_boost values */
2137#define BALANCE_LEG_SHIFT(port) (8+3*(port))
2138#define BALANCE_LEG_MASK(port) (7<<(8+3*(port)))
2139/* Balance leg disable bits */
2140#define BALANCE_LEG_DISABLE_SHIFT 23
a7d8dbc0 2141#define BALANCE_LEG_DISABLE(port) (1 << (23 + (port)))
f8896f5d 2142
585fb111 2143/*
de151cf6 2144 * Fence registers
eecf613a
VS
2145 * [0-7] @ 0x2000 gen2,gen3
2146 * [8-15] @ 0x3000 945,g33,pnv
2147 *
2148 * [0-15] @ 0x3000 gen4,gen5
2149 *
2150 * [0-15] @ 0x100000 gen6,vlv,chv
2151 * [0-31] @ 0x100000 gen7+
585fb111 2152 */
f0f59a00 2153#define FENCE_REG(i) _MMIO(0x2000 + (((i) & 8) << 9) + ((i) & 7) * 4)
de151cf6
JB
2154#define I830_FENCE_START_MASK 0x07f80000
2155#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 2156#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6
JB
2157#define I830_FENCE_PITCH_SHIFT 4
2158#define I830_FENCE_REG_VALID (1<<0)
c36a2a6d 2159#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 2160#define I830_FENCE_MAX_PITCH_VAL 6
8d7773a3 2161#define I830_FENCE_MAX_SIZE_VAL (1<<8)
de151cf6
JB
2162
2163#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 2164#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 2165
f0f59a00
VS
2166#define FENCE_REG_965_LO(i) _MMIO(0x03000 + (i) * 8)
2167#define FENCE_REG_965_HI(i) _MMIO(0x03000 + (i) * 8 + 4)
de151cf6
JB
2168#define I965_FENCE_PITCH_SHIFT 2
2169#define I965_FENCE_TILING_Y_SHIFT 1
2170#define I965_FENCE_REG_VALID (1<<0)
8d7773a3 2171#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 2172
f0f59a00
VS
2173#define FENCE_REG_GEN6_LO(i) _MMIO(0x100000 + (i) * 8)
2174#define FENCE_REG_GEN6_HI(i) _MMIO(0x100000 + (i) * 8 + 4)
eecf613a 2175#define GEN6_FENCE_PITCH_SHIFT 32
3a062478 2176#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 2177
2b6b3a09 2178
f691e2f4 2179/* control register for cpu gtt access */
f0f59a00 2180#define TILECTL _MMIO(0x101000)
f691e2f4 2181#define TILECTL_SWZCTL (1 << 0)
e3a29055 2182#define TILECTL_TLBPF (1 << 1)
f691e2f4
DV
2183#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
2184#define TILECTL_BACKSNOOP_DIS (1 << 3)
2185
de151cf6
JB
2186/*
2187 * Instruction and interrupt control regs
2188 */
f0f59a00 2189#define PGTBL_CTL _MMIO(0x02020)
f1e1c212
VS
2190#define PGTBL_ADDRESS_LO_MASK 0xfffff000 /* bits [31:12] */
2191#define PGTBL_ADDRESS_HI_MASK 0x000000f0 /* bits [35:32] (gen4) */
f0f59a00
VS
2192#define PGTBL_ER _MMIO(0x02024)
2193#define PRB0_BASE (0x2030-0x30)
2194#define PRB1_BASE (0x2040-0x30) /* 830,gen3 */
2195#define PRB2_BASE (0x2050-0x30) /* gen3 */
2196#define SRB0_BASE (0x2100-0x30) /* gen2 */
2197#define SRB1_BASE (0x2110-0x30) /* gen2 */
2198#define SRB2_BASE (0x2120-0x30) /* 830 */
2199#define SRB3_BASE (0x2130-0x30) /* 830 */
333e9fe9
DV
2200#define RENDER_RING_BASE 0x02000
2201#define BSD_RING_BASE 0x04000
2202#define GEN6_BSD_RING_BASE 0x12000
845f74a7 2203#define GEN8_BSD2_RING_BASE 0x1c000
5f79e7c6
OM
2204#define GEN11_BSD_RING_BASE 0x1c0000
2205#define GEN11_BSD2_RING_BASE 0x1c4000
2206#define GEN11_BSD3_RING_BASE 0x1d0000
2207#define GEN11_BSD4_RING_BASE 0x1d4000
1950de14 2208#define VEBOX_RING_BASE 0x1a000
5f79e7c6
OM
2209#define GEN11_VEBOX_RING_BASE 0x1c8000
2210#define GEN11_VEBOX2_RING_BASE 0x1d8000
549f7365 2211#define BLT_RING_BASE 0x22000
f0f59a00
VS
2212#define RING_TAIL(base) _MMIO((base)+0x30)
2213#define RING_HEAD(base) _MMIO((base)+0x34)
2214#define RING_START(base) _MMIO((base)+0x38)
2215#define RING_CTL(base) _MMIO((base)+0x3c)
62ae14b1 2216#define RING_CTL_SIZE(size) ((size) - PAGE_SIZE) /* in bytes -> pages */
f0f59a00
VS
2217#define RING_SYNC_0(base) _MMIO((base)+0x40)
2218#define RING_SYNC_1(base) _MMIO((base)+0x44)
2219#define RING_SYNC_2(base) _MMIO((base)+0x48)
1950de14
BW
2220#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
2221#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
2222#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
2223#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
2224#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
2225#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
2226#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
2227#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
2228#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
2229#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
2230#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
2231#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
f0f59a00
VS
2232#define GEN6_NOSYNC INVALID_MMIO_REG
2233#define RING_PSMI_CTL(base) _MMIO((base)+0x50)
2234#define RING_MAX_IDLE(base) _MMIO((base)+0x54)
2235#define RING_HWS_PGA(base) _MMIO((base)+0x80)
2236#define RING_HWS_PGA_GEN6(base) _MMIO((base)+0x2080)
2237#define RING_RESET_CTL(base) _MMIO((base)+0xd0)
7fd2d269
MK
2238#define RESET_CTL_REQUEST_RESET (1 << 0)
2239#define RESET_CTL_READY_TO_RESET (1 << 1)
9e72b46c 2240
f0f59a00 2241#define HSW_GTT_CACHE_EN _MMIO(0x4024)
6d50b065 2242#define GTT_CACHE_EN_ALL 0xF0007FFF
f0f59a00
VS
2243#define GEN7_WR_WATERMARK _MMIO(0x4028)
2244#define GEN7_GFX_PRIO_CTRL _MMIO(0x402C)
2245#define ARB_MODE _MMIO(0x4030)
f691e2f4
DV
2246#define ARB_MODE_SWIZZLE_SNB (1<<4)
2247#define ARB_MODE_SWIZZLE_IVB (1<<5)
f0f59a00
VS
2248#define GEN7_GFX_PEND_TLB0 _MMIO(0x4034)
2249#define GEN7_GFX_PEND_TLB1 _MMIO(0x4038)
9e72b46c 2250/* L3, CVS, ZTLB, RCC, CASC LRA min, max values */
f0f59a00 2251#define GEN7_LRA_LIMITS(i) _MMIO(0x403C + (i) * 4)
9e72b46c 2252#define GEN7_LRA_LIMITS_REG_NUM 13
f0f59a00
VS
2253#define GEN7_MEDIA_MAX_REQ_COUNT _MMIO(0x4070)
2254#define GEN7_GFX_MAX_REQ_COUNT _MMIO(0x4074)
9e72b46c 2255
f0f59a00 2256#define GAMTARBMODE _MMIO(0x04a08)
4afe8d33 2257#define ARB_MODE_BWGTLB_DISABLE (1<<9)
31a5336e 2258#define ARB_MODE_SWIZZLE_BDW (1<<1)
f0f59a00 2259#define RENDER_HWS_PGA_GEN7 _MMIO(0x04080)
5ac9793b 2260#define RING_FAULT_REG(engine) _MMIO(0x4094 + 0x100*(engine)->hw_id)
b03ec3d6
MT
2261#define GEN8_RING_FAULT_REG _MMIO(0x4094)
2262#define GEN8_RING_FAULT_ENGINE_ID(x) (((x) >> 12) & 0x7)
828c7908 2263#define RING_FAULT_GTTSEL_MASK (1<<11)
68d97538
VS
2264#define RING_FAULT_SRCID(x) (((x) >> 3) & 0xff)
2265#define RING_FAULT_FAULT_TYPE(x) (((x) >> 1) & 0x3)
828c7908 2266#define RING_FAULT_VALID (1<<0)
f0f59a00
VS
2267#define DONE_REG _MMIO(0x40b0)
2268#define GEN8_PRIVATE_PAT_LO _MMIO(0x40e0)
2269#define GEN8_PRIVATE_PAT_HI _MMIO(0x40e0 + 4)
1790625b 2270#define GEN10_PAT_INDEX(index) _MMIO(0x40e0 + (index)*4)
f0f59a00
VS
2271#define BSD_HWS_PGA_GEN7 _MMIO(0x04180)
2272#define BLT_HWS_PGA_GEN7 _MMIO(0x04280)
2273#define VEBOX_HWS_PGA_GEN7 _MMIO(0x04380)
2274#define RING_ACTHD(base) _MMIO((base)+0x74)
2275#define RING_ACTHD_UDW(base) _MMIO((base)+0x5c)
2276#define RING_NOPID(base) _MMIO((base)+0x94)
2277#define RING_IMR(base) _MMIO((base)+0xa8)
2278#define RING_HWSTAM(base) _MMIO((base)+0x98)
2279#define RING_TIMESTAMP(base) _MMIO((base)+0x358)
2280#define RING_TIMESTAMP_UDW(base) _MMIO((base)+0x358 + 4)
585fb111
JB
2281#define TAIL_ADDR 0x001FFFF8
2282#define HEAD_WRAP_COUNT 0xFFE00000
2283#define HEAD_WRAP_ONE 0x00200000
2284#define HEAD_ADDR 0x001FFFFC
2285#define RING_NR_PAGES 0x001FF000
2286#define RING_REPORT_MASK 0x00000006
2287#define RING_REPORT_64K 0x00000002
2288#define RING_REPORT_128K 0x00000004
2289#define RING_NO_REPORT 0x00000000
2290#define RING_VALID_MASK 0x00000001
2291#define RING_VALID 0x00000001
2292#define RING_INVALID 0x00000000
4b60e5cb
CW
2293#define RING_WAIT_I8XX (1<<0) /* gen2, PRBx_HEAD */
2294#define RING_WAIT (1<<11) /* gen3+, PRBx_CTL */
1ec14ad3 2295#define RING_WAIT_SEMAPHORE (1<<10) /* gen6+ */
9e72b46c 2296
33136b06
AS
2297#define RING_FORCE_TO_NONPRIV(base, i) _MMIO(((base)+0x4D0) + (i)*4)
2298#define RING_MAX_NONPRIV_SLOTS 12
2299
f0f59a00 2300#define GEN7_TLB_RD_ADDR _MMIO(0x4700)
9e72b46c 2301
4ba9c1f7
MK
2302#define GEN9_GAMT_ECO_REG_RW_IA _MMIO(0x4ab0)
2303#define GAMT_ECO_ENABLE_IN_PLACE_DECOMPRESS (1<<18)
2304
9a6330cf
MA
2305#define GEN8_GAMW_ECO_DEV_RW_IA _MMIO(0x4080)
2306#define GAMW_ECO_ENABLE_64K_IPS_FIELD 0xF
2307
c0b730d5
MK
2308#define GAMT_CHKN_BIT_REG _MMIO(0x4ab8)
2309#define GAMT_CHKN_DISABLE_DYNAMIC_CREDIT_SHARING (1<<28)
86ebb015 2310#define GAMT_CHKN_DISABLE_I2M_CYCLE_ON_WR_PORT (1<<24)
c0b730d5 2311
8168bd48 2312#if 0
f0f59a00
VS
2313#define PRB0_TAIL _MMIO(0x2030)
2314#define PRB0_HEAD _MMIO(0x2034)
2315#define PRB0_START _MMIO(0x2038)
2316#define PRB0_CTL _MMIO(0x203c)
2317#define PRB1_TAIL _MMIO(0x2040) /* 915+ only */
2318#define PRB1_HEAD _MMIO(0x2044) /* 915+ only */
2319#define PRB1_START _MMIO(0x2048) /* 915+ only */
2320#define PRB1_CTL _MMIO(0x204c) /* 915+ only */
8168bd48 2321#endif
f0f59a00
VS
2322#define IPEIR_I965 _MMIO(0x2064)
2323#define IPEHR_I965 _MMIO(0x2068)
2324#define GEN7_SC_INSTDONE _MMIO(0x7100)
2325#define GEN7_SAMPLER_INSTDONE _MMIO(0xe160)
2326#define GEN7_ROW_INSTDONE _MMIO(0xe164)
f9e61372
BW
2327#define GEN8_MCR_SELECTOR _MMIO(0xfdc)
2328#define GEN8_MCR_SLICE(slice) (((slice) & 3) << 26)
2329#define GEN8_MCR_SLICE_MASK GEN8_MCR_SLICE(3)
2330#define GEN8_MCR_SUBSLICE(subslice) (((subslice) & 3) << 24)
2331#define GEN8_MCR_SUBSLICE_MASK GEN8_MCR_SUBSLICE(3)
d3d57927
KG
2332#define GEN11_MCR_SLICE(slice) (((slice) & 0xf) << 27)
2333#define GEN11_MCR_SLICE_MASK GEN11_MCR_SLICE(0xf)
2334#define GEN11_MCR_SUBSLICE(subslice) (((subslice) & 0x7) << 24)
2335#define GEN11_MCR_SUBSLICE_MASK GEN11_MCR_SUBSLICE(0x7)
f0f59a00
VS
2336#define RING_IPEIR(base) _MMIO((base)+0x64)
2337#define RING_IPEHR(base) _MMIO((base)+0x68)
f1d54348
ID
2338/*
2339 * On GEN4, only the render ring INSTDONE exists and has a different
2340 * layout than the GEN7+ version.
bd93a50e 2341 * The GEN2 counterpart of this register is GEN2_INSTDONE.
f1d54348 2342 */
f0f59a00
VS
2343#define RING_INSTDONE(base) _MMIO((base)+0x6c)
2344#define RING_INSTPS(base) _MMIO((base)+0x70)
2345#define RING_DMA_FADD(base) _MMIO((base)+0x78)
2346#define RING_DMA_FADD_UDW(base) _MMIO((base)+0x60) /* gen8+ */
2347#define RING_INSTPM(base) _MMIO((base)+0xc0)
2348#define RING_MI_MODE(base) _MMIO((base)+0x9c)
2349#define INSTPS _MMIO(0x2070) /* 965+ only */
2350#define GEN4_INSTDONE1 _MMIO(0x207c) /* 965+ only, aka INSTDONE_2 on SNB */
2351#define ACTHD_I965 _MMIO(0x2074)
2352#define HWS_PGA _MMIO(0x2080)
585fb111
JB
2353#define HWS_ADDRESS_MASK 0xfffff000
2354#define HWS_START_ADDRESS_SHIFT 4
f0f59a00 2355#define PWRCTXA _MMIO(0x2088) /* 965GM+ only */
97f5ab66 2356#define PWRCTX_EN (1<<0)
f0f59a00
VS
2357#define IPEIR _MMIO(0x2088)
2358#define IPEHR _MMIO(0x208c)
2359#define GEN2_INSTDONE _MMIO(0x2090)
2360#define NOPID _MMIO(0x2094)
2361#define HWSTAM _MMIO(0x2098)
2362#define DMA_FADD_I8XX _MMIO(0x20d0)
2363#define RING_BBSTATE(base) _MMIO((base)+0x110)
35dc3f97 2364#define RING_BB_PPGTT (1 << 5)
f0f59a00
VS
2365#define RING_SBBADDR(base) _MMIO((base)+0x114) /* hsw+ */
2366#define RING_SBBSTATE(base) _MMIO((base)+0x118) /* hsw+ */
2367#define RING_SBBADDR_UDW(base) _MMIO((base)+0x11c) /* gen8+ */
2368#define RING_BBADDR(base) _MMIO((base)+0x140)
2369#define RING_BBADDR_UDW(base) _MMIO((base)+0x168) /* gen8+ */
2370#define RING_BB_PER_CTX_PTR(base) _MMIO((base)+0x1c0) /* gen8+ */
2371#define RING_INDIRECT_CTX(base) _MMIO((base)+0x1c4) /* gen8+ */
2372#define RING_INDIRECT_CTX_OFFSET(base) _MMIO((base)+0x1c8) /* gen8+ */
2373#define RING_CTX_TIMESTAMP(base) _MMIO((base)+0x3a8) /* gen8+ */
2374
2375#define ERROR_GEN6 _MMIO(0x40a0)
2376#define GEN7_ERR_INT _MMIO(0x44040)
de032bf4 2377#define ERR_INT_POISON (1<<31)
8664281b 2378#define ERR_INT_MMIO_UNCLAIMED (1<<13)
8bf1e9f1 2379#define ERR_INT_PIPE_CRC_DONE_C (1<<8)
8664281b 2380#define ERR_INT_FIFO_UNDERRUN_C (1<<6)
8bf1e9f1 2381#define ERR_INT_PIPE_CRC_DONE_B (1<<5)
8664281b 2382#define ERR_INT_FIFO_UNDERRUN_B (1<<3)
8bf1e9f1 2383#define ERR_INT_PIPE_CRC_DONE_A (1<<2)
68d97538 2384#define ERR_INT_PIPE_CRC_DONE(pipe) (1<<(2 + (pipe)*3))
8664281b 2385#define ERR_INT_FIFO_UNDERRUN_A (1<<0)
68d97538 2386#define ERR_INT_FIFO_UNDERRUN(pipe) (1<<((pipe)*3))
f406839f 2387
f0f59a00
VS
2388#define GEN8_FAULT_TLB_DATA0 _MMIO(0x4b10)
2389#define GEN8_FAULT_TLB_DATA1 _MMIO(0x4b14)
5a3f58df
OM
2390#define FAULT_VA_HIGH_BITS (0xf << 0)
2391#define FAULT_GTT_SEL (1 << 4)
6c826f34 2392
f0f59a00 2393#define FPGA_DBG _MMIO(0x42300)
3f1e109a
PZ
2394#define FPGA_DBG_RM_NOCLAIM (1<<31)
2395
8ac3e1bb
MK
2396#define CLAIM_ER _MMIO(VLV_DISPLAY_BASE + 0x2028)
2397#define CLAIM_ER_CLR (1 << 31)
2398#define CLAIM_ER_OVERFLOW (1 << 16)
2399#define CLAIM_ER_CTR_MASK 0xffff
2400
f0f59a00 2401#define DERRMR _MMIO(0x44050)
4e0bbc31 2402/* Note that HBLANK events are reserved on bdw+ */
ffe74d75
CW
2403#define DERRMR_PIPEA_SCANLINE (1<<0)
2404#define DERRMR_PIPEA_PRI_FLIP_DONE (1<<1)
2405#define DERRMR_PIPEA_SPR_FLIP_DONE (1<<2)
2406#define DERRMR_PIPEA_VBLANK (1<<3)
2407#define DERRMR_PIPEA_HBLANK (1<<5)
2408#define DERRMR_PIPEB_SCANLINE (1<<8)
2409#define DERRMR_PIPEB_PRI_FLIP_DONE (1<<9)
2410#define DERRMR_PIPEB_SPR_FLIP_DONE (1<<10)
2411#define DERRMR_PIPEB_VBLANK (1<<11)
2412#define DERRMR_PIPEB_HBLANK (1<<13)
2413/* Note that PIPEC is not a simple translation of PIPEA/PIPEB */
2414#define DERRMR_PIPEC_SCANLINE (1<<14)
2415#define DERRMR_PIPEC_PRI_FLIP_DONE (1<<15)
2416#define DERRMR_PIPEC_SPR_FLIP_DONE (1<<20)
2417#define DERRMR_PIPEC_VBLANK (1<<21)
2418#define DERRMR_PIPEC_HBLANK (1<<22)
2419
0f3b6849 2420
de6e2eaf
EA
2421/* GM45+ chicken bits -- debug workaround bits that may be required
2422 * for various sorts of correct behavior. The top 16 bits of each are
2423 * the enables for writing to the corresponding low bit.
2424 */
f0f59a00 2425#define _3D_CHICKEN _MMIO(0x2084)
4283908e 2426#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
f0f59a00 2427#define _3D_CHICKEN2 _MMIO(0x208c)
de6e2eaf
EA
2428/* Disables pipelining of read flushes past the SF-WIZ interface.
2429 * Required on all Ironlake steppings according to the B-Spec, but the
2430 * particular danger of not doing so is not specified.
2431 */
2432# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
f0f59a00 2433#define _3D_CHICKEN3 _MMIO(0x2090)
87f8020e 2434#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
1a25db65 2435#define _3D_CHICKEN3_AA_LINE_QUALITY_FIX_ENABLE (1 << 5)
26b6e44a 2436#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
e927ecde
VS
2437#define _3D_CHICKEN_SDE_LIMIT_FIFO_POLY_DEPTH(x) ((x)<<1) /* gen8+ */
2438#define _3D_CHICKEN3_SF_DISABLE_PIPELINED_ATTR_FETCH (1 << 1) /* gen6 */
de6e2eaf 2439
f0f59a00 2440#define MI_MODE _MMIO(0x209c)
71cf39b1 2441# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 2442# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 2443# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
e9fea574 2444# define MODE_IDLE (1 << 9)
9991ae78 2445# define STOP_RING (1 << 8)
71cf39b1 2446
f0f59a00
VS
2447#define GEN6_GT_MODE _MMIO(0x20d0)
2448#define GEN7_GT_MODE _MMIO(0x7008)
8d85d272
VS
2449#define GEN6_WIZ_HASHING(hi, lo) (((hi) << 9) | ((lo) << 7))
2450#define GEN6_WIZ_HASHING_8x8 GEN6_WIZ_HASHING(0, 0)
2451#define GEN6_WIZ_HASHING_8x4 GEN6_WIZ_HASHING(0, 1)
2452#define GEN6_WIZ_HASHING_16x4 GEN6_WIZ_HASHING(1, 0)
98533251 2453#define GEN6_WIZ_HASHING_MASK GEN6_WIZ_HASHING(1, 1)
6547fbdb 2454#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
68d97538
VS
2455#define GEN9_IZ_HASHING_MASK(slice) (0x3 << ((slice) * 2))
2456#define GEN9_IZ_HASHING(slice, val) ((val) << ((slice) * 2))
f8f2ac9a 2457
a8ab5ed5
TG
2458/* chicken reg for WaConextSwitchWithConcurrentTLBInvalidate */
2459#define GEN9_CSFE_CHICKEN1_RCS _MMIO(0x20D4)
2460#define GEN9_PREEMPT_GPGPU_SYNC_SWITCH_DISABLE (1 << 2)
2461
b1e429fe
TG
2462/* WaClearTdlStateAckDirtyBits */
2463#define GEN8_STATE_ACK _MMIO(0x20F0)
2464#define GEN9_STATE_ACK_SLICE1 _MMIO(0x20F8)
2465#define GEN9_STATE_ACK_SLICE2 _MMIO(0x2100)
2466#define GEN9_STATE_ACK_TDL0 (1 << 12)
2467#define GEN9_STATE_ACK_TDL1 (1 << 13)
2468#define GEN9_STATE_ACK_TDL2 (1 << 14)
2469#define GEN9_STATE_ACK_TDL3 (1 << 15)
2470#define GEN9_SUBSLICE_TDL_ACK_BITS \
2471 (GEN9_STATE_ACK_TDL3 | GEN9_STATE_ACK_TDL2 | \
2472 GEN9_STATE_ACK_TDL1 | GEN9_STATE_ACK_TDL0)
2473
f0f59a00
VS
2474#define GFX_MODE _MMIO(0x2520)
2475#define GFX_MODE_GEN7 _MMIO(0x229c)
bbdc070a 2476#define RING_MODE_GEN7(engine) _MMIO((engine)->mmio_base+0x29c)
1ec14ad3 2477#define GFX_RUN_LIST_ENABLE (1<<15)
4df001d3 2478#define GFX_INTERRUPT_STEERING (1<<14)
aa83e30d 2479#define GFX_TLB_INVALIDATE_EXPLICIT (1<<13)
1ec14ad3
CW
2480#define GFX_SURFACE_FAULT_ENABLE (1<<12)
2481#define GFX_REPLAY_MODE (1<<11)
2482#define GFX_PSMI_GRANULARITY (1<<10)
2483#define GFX_PPGTT_ENABLE (1<<9)
2dba3239 2484#define GEN8_GFX_PPGTT_48B (1<<7)
1ec14ad3 2485
4df001d3
DG
2486#define GFX_FORWARD_VBLANK_MASK (3<<5)
2487#define GFX_FORWARD_VBLANK_NEVER (0<<5)
2488#define GFX_FORWARD_VBLANK_ALWAYS (1<<5)
2489#define GFX_FORWARD_VBLANK_COND (2<<5)
2490
225701fc
KG
2491#define GEN11_GFX_DISABLE_LEGACY_MODE (1<<3)
2492
a7e806de 2493#define VLV_DISPLAY_BASE 0x180000
b6fdd0f2 2494#define VLV_MIPI_BASE VLV_DISPLAY_BASE
c6c794a2 2495#define BXT_MIPI_BASE 0x60000
a7e806de 2496
f0f59a00
VS
2497#define VLV_GU_CTL0 _MMIO(VLV_DISPLAY_BASE + 0x2030)
2498#define VLV_GU_CTL1 _MMIO(VLV_DISPLAY_BASE + 0x2034)
2499#define SCPD0 _MMIO(0x209c) /* 915+ only */
2500#define IER _MMIO(0x20a0)
2501#define IIR _MMIO(0x20a4)
2502#define IMR _MMIO(0x20a8)
2503#define ISR _MMIO(0x20ac)
2504#define VLV_GUNIT_CLOCK_GATE _MMIO(VLV_DISPLAY_BASE + 0x2060)
e4443e45 2505#define GINT_DIS (1<<22)
2d809570 2506#define GCFG_DIS (1<<8)
f0f59a00
VS
2507#define VLV_GUNIT_CLOCK_GATE2 _MMIO(VLV_DISPLAY_BASE + 0x2064)
2508#define VLV_IIR_RW _MMIO(VLV_DISPLAY_BASE + 0x2084)
2509#define VLV_IER _MMIO(VLV_DISPLAY_BASE + 0x20a0)
2510#define VLV_IIR _MMIO(VLV_DISPLAY_BASE + 0x20a4)
2511#define VLV_IMR _MMIO(VLV_DISPLAY_BASE + 0x20a8)
2512#define VLV_ISR _MMIO(VLV_DISPLAY_BASE + 0x20ac)
2513#define VLV_PCBR _MMIO(VLV_DISPLAY_BASE + 0x2120)
38807746
D
2514#define VLV_PCBR_ADDR_SHIFT 12
2515
90a72f87 2516#define DISPLAY_PLANE_FLIP_PENDING(plane) (1<<(11-(plane))) /* A and B only */
f0f59a00
VS
2517#define EIR _MMIO(0x20b0)
2518#define EMR _MMIO(0x20b4)
2519#define ESR _MMIO(0x20b8)
63eeaf38
JB
2520#define GM45_ERROR_PAGE_TABLE (1<<5)
2521#define GM45_ERROR_MEM_PRIV (1<<4)
2522#define I915_ERROR_PAGE_TABLE (1<<4)
2523#define GM45_ERROR_CP_PRIV (1<<3)
2524#define I915_ERROR_MEMORY_REFRESH (1<<1)
2525#define I915_ERROR_INSTRUCTION (1<<0)
f0f59a00 2526#define INSTPM _MMIO(0x20c0)
ee980b80 2527#define INSTPM_SELF_EN (1<<12) /* 915GM only */
3299254f 2528#define INSTPM_AGPBUSY_INT_EN (1<<11) /* gen3: when disabled, pending interrupts
8692d00e
CW
2529 will not assert AGPBUSY# and will only
2530 be delivered when out of C3. */
84f9f938 2531#define INSTPM_FORCE_ORDERING (1<<7) /* GEN6+ */
884020bf
CW
2532#define INSTPM_TLB_INVALIDATE (1<<9)
2533#define INSTPM_SYNC_FLUSH (1<<5)
f0f59a00
VS
2534#define ACTHD _MMIO(0x20c8)
2535#define MEM_MODE _MMIO(0x20cc)
1038392b
VS
2536#define MEM_DISPLAY_B_TRICKLE_FEED_DISABLE (1<<3) /* 830 only */
2537#define MEM_DISPLAY_A_TRICKLE_FEED_DISABLE (1<<2) /* 830/845 only */
2538#define MEM_DISPLAY_TRICKLE_FEED_DISABLE (1<<2) /* 85x only */
f0f59a00
VS
2539#define FW_BLC _MMIO(0x20d8)
2540#define FW_BLC2 _MMIO(0x20dc)
2541#define FW_BLC_SELF _MMIO(0x20e0) /* 915+ only */
ee980b80
LP
2542#define FW_BLC_SELF_EN_MASK (1<<31)
2543#define FW_BLC_SELF_FIFO_MASK (1<<16) /* 945 only */
2544#define FW_BLC_SELF_EN (1<<15) /* 945 only */
7662c8bd
SL
2545#define MM_BURST_LENGTH 0x00700000
2546#define MM_FIFO_WATERMARK 0x0001F000
2547#define LM_BURST_LENGTH 0x00000700
2548#define LM_FIFO_WATERMARK 0x0000001F
f0f59a00 2549#define MI_ARB_STATE _MMIO(0x20e4) /* 915+ only */
45503ded 2550
78005497
MK
2551#define MBUS_ABOX_CTL _MMIO(0x45038)
2552#define MBUS_ABOX_BW_CREDIT_MASK (3 << 20)
2553#define MBUS_ABOX_BW_CREDIT(x) ((x) << 20)
2554#define MBUS_ABOX_B_CREDIT_MASK (0xF << 16)
2555#define MBUS_ABOX_B_CREDIT(x) ((x) << 16)
2556#define MBUS_ABOX_BT_CREDIT_POOL2_MASK (0x1F << 8)
2557#define MBUS_ABOX_BT_CREDIT_POOL2(x) ((x) << 8)
2558#define MBUS_ABOX_BT_CREDIT_POOL1_MASK (0x1F << 0)
2559#define MBUS_ABOX_BT_CREDIT_POOL1(x) ((x) << 0)
2560
2561#define _PIPEA_MBUS_DBOX_CTL 0x7003C
2562#define _PIPEB_MBUS_DBOX_CTL 0x7103C
2563#define PIPE_MBUS_DBOX_CTL(pipe) _MMIO_PIPE(pipe, _PIPEA_MBUS_DBOX_CTL, \
2564 _PIPEB_MBUS_DBOX_CTL)
2565#define MBUS_DBOX_BW_CREDIT_MASK (3 << 14)
2566#define MBUS_DBOX_BW_CREDIT(x) ((x) << 14)
2567#define MBUS_DBOX_B_CREDIT_MASK (0x1F << 8)
2568#define MBUS_DBOX_B_CREDIT(x) ((x) << 8)
2569#define MBUS_DBOX_A_CREDIT_MASK (0xF << 0)
2570#define MBUS_DBOX_A_CREDIT(x) ((x) << 0)
2571
2572#define MBUS_UBOX_CTL _MMIO(0x4503C)
2573#define MBUS_BBOX_CTL_S1 _MMIO(0x45040)
2574#define MBUS_BBOX_CTL_S2 _MMIO(0x45044)
2575
45503ded
KP
2576/* Make render/texture TLB fetches lower priorty than associated data
2577 * fetches. This is not turned on by default
2578 */
2579#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
2580
2581/* Isoch request wait on GTT enable (Display A/B/C streams).
2582 * Make isoch requests stall on the TLB update. May cause
2583 * display underruns (test mode only)
2584 */
2585#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
2586
2587/* Block grant count for isoch requests when block count is
2588 * set to a finite value.
2589 */
2590#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
2591#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
2592#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
2593#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
2594#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
2595
2596/* Enable render writes to complete in C2/C3/C4 power states.
2597 * If this isn't enabled, render writes are prevented in low
2598 * power states. That seems bad to me.
2599 */
2600#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
2601
2602/* This acknowledges an async flip immediately instead
2603 * of waiting for 2TLB fetches.
2604 */
2605#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
2606
2607/* Enables non-sequential data reads through arbiter
2608 */
0206e353 2609#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
2610
2611/* Disable FSB snooping of cacheable write cycles from binner/render
2612 * command stream
2613 */
2614#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
2615
2616/* Arbiter time slice for non-isoch streams */
2617#define MI_ARB_TIME_SLICE_MASK (7 << 5)
2618#define MI_ARB_TIME_SLICE_1 (0 << 5)
2619#define MI_ARB_TIME_SLICE_2 (1 << 5)
2620#define MI_ARB_TIME_SLICE_4 (2 << 5)
2621#define MI_ARB_TIME_SLICE_6 (3 << 5)
2622#define MI_ARB_TIME_SLICE_8 (4 << 5)
2623#define MI_ARB_TIME_SLICE_10 (5 << 5)
2624#define MI_ARB_TIME_SLICE_14 (6 << 5)
2625#define MI_ARB_TIME_SLICE_16 (7 << 5)
2626
2627/* Low priority grace period page size */
2628#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
2629#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
2630
2631/* Disable display A/B trickle feed */
2632#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
2633
2634/* Set display plane priority */
2635#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
2636#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
2637
f0f59a00 2638#define MI_STATE _MMIO(0x20e4) /* gen2 only */
54e472ae
VS
2639#define MI_AGPBUSY_INT_EN (1 << 1) /* 85x only */
2640#define MI_AGPBUSY_830_MODE (1 << 0) /* 85x only */
2641
f0f59a00 2642#define CACHE_MODE_0 _MMIO(0x2120) /* 915+ only */
4358a374 2643#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1<<8)
585fb111
JB
2644#define CM0_IZ_OPT_DISABLE (1<<6)
2645#define CM0_ZR_OPT_DISABLE (1<<5)
009be664 2646#define CM0_STC_EVICT_DISABLE_LRA_SNB (1<<5)
585fb111
JB
2647#define CM0_DEPTH_EVICT_DISABLE (1<<4)
2648#define CM0_COLOR_EVICT_DISABLE (1<<3)
2649#define CM0_DEPTH_WRITE_DISABLE (1<<1)
2650#define CM0_RC_OP_FLUSH_DISABLE (1<<0)
f0f59a00
VS
2651#define GFX_FLSH_CNTL _MMIO(0x2170) /* 915+ only */
2652#define GFX_FLSH_CNTL_GEN6 _MMIO(0x101008)
0f9b91c7 2653#define GFX_FLSH_CNTL_EN (1<<0)
f0f59a00 2654#define ECOSKPD _MMIO(0x21d0)
1afe3e9d
JB
2655#define ECO_GATING_CX_ONLY (1<<3)
2656#define ECO_FLIP_DONE (1<<0)
585fb111 2657
f0f59a00 2658#define CACHE_MODE_0_GEN7 _MMIO(0x7000) /* IVB+ */
4e04632e 2659#define RC_OP_FLUSH_ENABLE (1<<0)
fe27c606 2660#define HIZ_RAW_STALL_OPT_DISABLE (1<<2)
f0f59a00 2661#define CACHE_MODE_1 _MMIO(0x7004) /* IVB+ */
5d708680
DL
2662#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1<<6)
2663#define GEN8_4x4_STC_OPTIMIZATION_DISABLE (1<<6)
9370cd98 2664#define GEN9_PARTIAL_RESOLVE_IN_VC_DISABLE (1<<1)
fb046853 2665
f0f59a00 2666#define GEN6_BLITTER_ECOSKPD _MMIO(0x221d0)
4efe0708
JB
2667#define GEN6_BLITTER_LOCK_SHIFT 16
2668#define GEN6_BLITTER_FBC_NOTIFY (1<<3)
2669
f0f59a00 2670#define GEN6_RC_SLEEP_PSMI_CONTROL _MMIO(0x2050)
2c550183 2671#define GEN6_PSMI_SLEEP_MSG_DISABLE (1 << 0)
295e8bb7 2672#define GEN8_RC_SEMA_IDLE_MSG_DISABLE (1 << 12)
e4443e45 2673#define GEN8_FF_DOP_CLOCK_GATE_DISABLE (1<<10)
295e8bb7 2674
19f81df2
RB
2675#define GEN6_RCS_PWR_FSM _MMIO(0x22ac)
2676#define GEN9_RCS_FE_FSM2 _MMIO(0x22a4)
2677
693d11c3 2678/* Fuse readout registers for GT */
b8ec759e
LL
2679#define HSW_PAVP_FUSE1 _MMIO(0x911C)
2680#define HSW_F1_EU_DIS_SHIFT 16
2681#define HSW_F1_EU_DIS_MASK (0x3 << HSW_F1_EU_DIS_SHIFT)
2682#define HSW_F1_EU_DIS_10EUS 0
2683#define HSW_F1_EU_DIS_8EUS 1
2684#define HSW_F1_EU_DIS_6EUS 2
2685
f0f59a00 2686#define CHV_FUSE_GT _MMIO(VLV_DISPLAY_BASE + 0x2168)
c93043ae
JM
2687#define CHV_FGT_DISABLE_SS0 (1 << 10)
2688#define CHV_FGT_DISABLE_SS1 (1 << 11)
693d11c3
D
2689#define CHV_FGT_EU_DIS_SS0_R0_SHIFT 16
2690#define CHV_FGT_EU_DIS_SS0_R0_MASK (0xf << CHV_FGT_EU_DIS_SS0_R0_SHIFT)
2691#define CHV_FGT_EU_DIS_SS0_R1_SHIFT 20
2692#define CHV_FGT_EU_DIS_SS0_R1_MASK (0xf << CHV_FGT_EU_DIS_SS0_R1_SHIFT)
2693#define CHV_FGT_EU_DIS_SS1_R0_SHIFT 24
2694#define CHV_FGT_EU_DIS_SS1_R0_MASK (0xf << CHV_FGT_EU_DIS_SS1_R0_SHIFT)
2695#define CHV_FGT_EU_DIS_SS1_R1_SHIFT 28
2696#define CHV_FGT_EU_DIS_SS1_R1_MASK (0xf << CHV_FGT_EU_DIS_SS1_R1_SHIFT)
2697
f0f59a00 2698#define GEN8_FUSE2 _MMIO(0x9120)
91bedd34
ŁD
2699#define GEN8_F2_SS_DIS_SHIFT 21
2700#define GEN8_F2_SS_DIS_MASK (0x7 << GEN8_F2_SS_DIS_SHIFT)
3873218f
JM
2701#define GEN8_F2_S_ENA_SHIFT 25
2702#define GEN8_F2_S_ENA_MASK (0x7 << GEN8_F2_S_ENA_SHIFT)
2703
2704#define GEN9_F2_SS_DIS_SHIFT 20
2705#define GEN9_F2_SS_DIS_MASK (0xf << GEN9_F2_SS_DIS_SHIFT)
2706
4e9767bc
BW
2707#define GEN10_F2_S_ENA_SHIFT 22
2708#define GEN10_F2_S_ENA_MASK (0x3f << GEN10_F2_S_ENA_SHIFT)
2709#define GEN10_F2_SS_DIS_SHIFT 18
2710#define GEN10_F2_SS_DIS_MASK (0xf << GEN10_F2_SS_DIS_SHIFT)
2711
fe864b76
YZ
2712#define GEN10_MIRROR_FUSE3 _MMIO(0x9118)
2713#define GEN10_L3BANK_PAIR_COUNT 4
2714#define GEN10_L3BANK_MASK 0x0F
2715
f0f59a00 2716#define GEN8_EU_DISABLE0 _MMIO(0x9134)
91bedd34
ŁD
2717#define GEN8_EU_DIS0_S0_MASK 0xffffff
2718#define GEN8_EU_DIS0_S1_SHIFT 24
2719#define GEN8_EU_DIS0_S1_MASK (0xff << GEN8_EU_DIS0_S1_SHIFT)
2720
f0f59a00 2721#define GEN8_EU_DISABLE1 _MMIO(0x9138)
91bedd34
ŁD
2722#define GEN8_EU_DIS1_S1_MASK 0xffff
2723#define GEN8_EU_DIS1_S2_SHIFT 16
2724#define GEN8_EU_DIS1_S2_MASK (0xffff << GEN8_EU_DIS1_S2_SHIFT)
2725
f0f59a00 2726#define GEN8_EU_DISABLE2 _MMIO(0x913c)
91bedd34
ŁD
2727#define GEN8_EU_DIS2_S2_MASK 0xff
2728
f0f59a00 2729#define GEN9_EU_DISABLE(slice) _MMIO(0x9134 + (slice)*0x4)
3873218f 2730
4e9767bc
BW
2731#define GEN10_EU_DISABLE3 _MMIO(0x9140)
2732#define GEN10_EU_DIS_SS_MASK 0xff
2733
26376a7e
OM
2734#define GEN11_GT_VEBOX_VDBOX_DISABLE _MMIO(0x9140)
2735#define GEN11_GT_VDBOX_DISABLE_MASK 0xff
2736#define GEN11_GT_VEBOX_DISABLE_SHIFT 16
2737#define GEN11_GT_VEBOX_DISABLE_MASK (0xff << GEN11_GT_VEBOX_DISABLE_SHIFT)
2738
8b5eb5e2
KG
2739#define GEN11_EU_DISABLE _MMIO(0x9134)
2740#define GEN11_EU_DIS_MASK 0xFF
2741
2742#define GEN11_GT_SLICE_ENABLE _MMIO(0x9138)
2743#define GEN11_GT_S_ENA_MASK 0xFF
2744
2745#define GEN11_GT_SUBSLICE_DISABLE _MMIO(0x913C)
2746
f0f59a00 2747#define GEN6_BSD_SLEEP_PSMI_CONTROL _MMIO(0x12050)
12f55818
CW
2748#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
2749#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
2750#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
2751#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 2752
cc609d5d
BW
2753/* On modern GEN architectures interrupt control consists of two sets
2754 * of registers. The first set pertains to the ring generating the
2755 * interrupt. The second control is for the functional block generating the
2756 * interrupt. These are PM, GT, DE, etc.
2757 *
2758 * Luckily *knocks on wood* all the ring interrupt bits match up with the
2759 * GT interrupt bits, so we don't need to duplicate the defines.
2760 *
2761 * These defines should cover us well from SNB->HSW with minor exceptions
2762 * it can also work on ILK.
2763 */
2764#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
2765#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
2766#define GT_BLT_USER_INTERRUPT (1 << 22)
2767#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
2768#define GT_BSD_USER_INTERRUPT (1 << 12)
35a85ac6 2769#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 (1 << 11) /* hsw+; rsvd on snb, ivb, vlv */
73d477f6 2770#define GT_CONTEXT_SWITCH_INTERRUPT (1 << 8)
cc609d5d
BW
2771#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
2772#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
2773#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
2774#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
2775#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
2776#define GT_RENDER_USER_INTERRUPT (1 << 0)
2777
12638c57
BW
2778#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
2779#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
2780
772c2a51 2781#define GT_PARITY_ERROR(dev_priv) \
35a85ac6 2782 (GT_RENDER_L3_PARITY_ERROR_INTERRUPT | \
772c2a51 2783 (IS_HASWELL(dev_priv) ? GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 : 0))
35a85ac6 2784
cc609d5d
BW
2785/* These are all the "old" interrupts */
2786#define ILK_BSD_USER_INTERRUPT (1<<5)
fac12f6c
VS
2787
2788#define I915_PM_INTERRUPT (1<<31)
2789#define I915_ISP_INTERRUPT (1<<22)
2790#define I915_LPE_PIPE_B_INTERRUPT (1<<21)
2791#define I915_LPE_PIPE_A_INTERRUPT (1<<20)
e7d7cad0 2792#define I915_MIPIC_INTERRUPT (1<<19)
fac12f6c 2793#define I915_MIPIA_INTERRUPT (1<<18)
cc609d5d
BW
2794#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1<<18)
2795#define I915_DISPLAY_PORT_INTERRUPT (1<<17)
fac12f6c
VS
2796#define I915_DISPLAY_PIPE_C_HBLANK_INTERRUPT (1<<16)
2797#define I915_MASTER_ERROR_INTERRUPT (1<<15)
cc609d5d 2798#define I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT (1<<15)
fac12f6c 2799#define I915_DISPLAY_PIPE_B_HBLANK_INTERRUPT (1<<14)
cc609d5d 2800#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1<<14) /* p-state */
fac12f6c 2801#define I915_DISPLAY_PIPE_A_HBLANK_INTERRUPT (1<<13)
cc609d5d 2802#define I915_HWB_OOM_INTERRUPT (1<<13)
fac12f6c 2803#define I915_LPE_PIPE_C_INTERRUPT (1<<12)
cc609d5d 2804#define I915_SYNC_STATUS_INTERRUPT (1<<12)
fac12f6c 2805#define I915_MISC_INTERRUPT (1<<11)
cc609d5d 2806#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1<<11)
fac12f6c 2807#define I915_DISPLAY_PIPE_C_VBLANK_INTERRUPT (1<<10)
cc609d5d 2808#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1<<10)
fac12f6c 2809#define I915_DISPLAY_PIPE_C_EVENT_INTERRUPT (1<<9)
cc609d5d 2810#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1<<9)
fac12f6c 2811#define I915_DISPLAY_PIPE_C_DPBM_INTERRUPT (1<<8)
cc609d5d
BW
2812#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1<<8)
2813#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1<<7)
2814#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1<<6)
2815#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1<<5)
2816#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1<<4)
fac12f6c
VS
2817#define I915_DISPLAY_PIPE_A_DPBM_INTERRUPT (1<<3)
2818#define I915_DISPLAY_PIPE_B_DPBM_INTERRUPT (1<<2)
cc609d5d 2819#define I915_DEBUG_INTERRUPT (1<<2)
fac12f6c 2820#define I915_WINVALID_INTERRUPT (1<<1)
cc609d5d
BW
2821#define I915_USER_INTERRUPT (1<<1)
2822#define I915_ASLE_INTERRUPT (1<<0)
fac12f6c 2823#define I915_BSD_USER_INTERRUPT (1<<25)
881f47b6 2824
eef57324
JA
2825#define I915_HDMI_LPE_AUDIO_BASE (VLV_DISPLAY_BASE + 0x65000)
2826#define I915_HDMI_LPE_AUDIO_SIZE 0x1000
2827
d5d8c3a1 2828/* DisplayPort Audio w/ LPE */
9db13e5f
TI
2829#define VLV_AUD_CHICKEN_BIT_REG _MMIO(VLV_DISPLAY_BASE + 0x62F38)
2830#define VLV_CHICKEN_BIT_DBG_ENABLE (1 << 0)
2831
d5d8c3a1
PLB
2832#define _VLV_AUD_PORT_EN_B_DBG (VLV_DISPLAY_BASE + 0x62F20)
2833#define _VLV_AUD_PORT_EN_C_DBG (VLV_DISPLAY_BASE + 0x62F30)
2834#define _VLV_AUD_PORT_EN_D_DBG (VLV_DISPLAY_BASE + 0x62F34)
2835#define VLV_AUD_PORT_EN_DBG(port) _MMIO_PORT3((port) - PORT_B, \
2836 _VLV_AUD_PORT_EN_B_DBG, \
2837 _VLV_AUD_PORT_EN_C_DBG, \
2838 _VLV_AUD_PORT_EN_D_DBG)
2839#define VLV_AMP_MUTE (1 << 1)
2840
f0f59a00 2841#define GEN6_BSD_RNCID _MMIO(0x12198)
881f47b6 2842
f0f59a00 2843#define GEN7_FF_THREAD_MODE _MMIO(0x20a0)
a1e969e0 2844#define GEN7_FF_SCHED_MASK 0x0077070
ab57fff1 2845#define GEN8_FF_DS_REF_CNT_FFME (1 << 19)
a1e969e0
BW
2846#define GEN7_FF_TS_SCHED_HS1 (0x5<<16)
2847#define GEN7_FF_TS_SCHED_HS0 (0x3<<16)
2848#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1<<16)
2849#define GEN7_FF_TS_SCHED_HW (0x0<<16) /* Default */
41c0b3a8 2850#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
a1e969e0
BW
2851#define GEN7_FF_VS_SCHED_HS1 (0x5<<12)
2852#define GEN7_FF_VS_SCHED_HS0 (0x3<<12)
2853#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1<<12) /* Default */
2854#define GEN7_FF_VS_SCHED_HW (0x0<<12)
2855#define GEN7_FF_DS_SCHED_HS1 (0x5<<4)
2856#define GEN7_FF_DS_SCHED_HS0 (0x3<<4)
2857#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1<<4) /* Default */
2858#define GEN7_FF_DS_SCHED_HW (0x0<<4)
2859
585fb111
JB
2860/*
2861 * Framebuffer compression (915+ only)
2862 */
2863
f0f59a00
VS
2864#define FBC_CFB_BASE _MMIO(0x3200) /* 4k page aligned */
2865#define FBC_LL_BASE _MMIO(0x3204) /* 4k page aligned */
2866#define FBC_CONTROL _MMIO(0x3208)
585fb111
JB
2867#define FBC_CTL_EN (1<<31)
2868#define FBC_CTL_PERIODIC (1<<30)
2869#define FBC_CTL_INTERVAL_SHIFT (16)
2870#define FBC_CTL_UNCOMPRESSIBLE (1<<14)
49677901 2871#define FBC_CTL_C3_IDLE (1<<13)
585fb111 2872#define FBC_CTL_STRIDE_SHIFT (5)
82f34496 2873#define FBC_CTL_FENCENO_SHIFT (0)
f0f59a00 2874#define FBC_COMMAND _MMIO(0x320c)
585fb111 2875#define FBC_CMD_COMPRESS (1<<0)
f0f59a00 2876#define FBC_STATUS _MMIO(0x3210)
585fb111
JB
2877#define FBC_STAT_COMPRESSING (1<<31)
2878#define FBC_STAT_COMPRESSED (1<<30)
2879#define FBC_STAT_MODIFIED (1<<29)
82f34496 2880#define FBC_STAT_CURRENT_LINE_SHIFT (0)
f0f59a00 2881#define FBC_CONTROL2 _MMIO(0x3214)
585fb111
JB
2882#define FBC_CTL_FENCE_DBL (0<<4)
2883#define FBC_CTL_IDLE_IMM (0<<2)
2884#define FBC_CTL_IDLE_FULL (1<<2)
2885#define FBC_CTL_IDLE_LINE (2<<2)
2886#define FBC_CTL_IDLE_DEBUG (3<<2)
2887#define FBC_CTL_CPU_FENCE (1<<1)
7f2cf220 2888#define FBC_CTL_PLANE(plane) ((plane)<<0)
f0f59a00
VS
2889#define FBC_FENCE_OFF _MMIO(0x3218) /* BSpec typo has 321Bh */
2890#define FBC_TAG(i) _MMIO(0x3300 + (i) * 4)
585fb111
JB
2891
2892#define FBC_LL_SIZE (1536)
2893
44fff99f
MK
2894#define FBC_LLC_READ_CTRL _MMIO(0x9044)
2895#define FBC_LLC_FULLY_OPEN (1<<30)
2896
74dff282 2897/* Framebuffer compression for GM45+ */
f0f59a00
VS
2898#define DPFC_CB_BASE _MMIO(0x3200)
2899#define DPFC_CONTROL _MMIO(0x3208)
74dff282 2900#define DPFC_CTL_EN (1<<31)
7f2cf220
VS
2901#define DPFC_CTL_PLANE(plane) ((plane)<<30)
2902#define IVB_DPFC_CTL_PLANE(plane) ((plane)<<29)
74dff282 2903#define DPFC_CTL_FENCE_EN (1<<29)
abe959c7 2904#define IVB_DPFC_CTL_FENCE_EN (1<<28)
9ce9d069 2905#define DPFC_CTL_PERSISTENT_MODE (1<<25)
74dff282
JB
2906#define DPFC_SR_EN (1<<10)
2907#define DPFC_CTL_LIMIT_1X (0<<6)
2908#define DPFC_CTL_LIMIT_2X (1<<6)
2909#define DPFC_CTL_LIMIT_4X (2<<6)
f0f59a00 2910#define DPFC_RECOMP_CTL _MMIO(0x320c)
74dff282
JB
2911#define DPFC_RECOMP_STALL_EN (1<<27)
2912#define DPFC_RECOMP_STALL_WM_SHIFT (16)
2913#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
2914#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
2915#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
f0f59a00 2916#define DPFC_STATUS _MMIO(0x3210)
74dff282
JB
2917#define DPFC_INVAL_SEG_SHIFT (16)
2918#define DPFC_INVAL_SEG_MASK (0x07ff0000)
2919#define DPFC_COMP_SEG_SHIFT (0)
3fd5d1ec 2920#define DPFC_COMP_SEG_MASK (0x000007ff)
f0f59a00
VS
2921#define DPFC_STATUS2 _MMIO(0x3214)
2922#define DPFC_FENCE_YOFF _MMIO(0x3218)
2923#define DPFC_CHICKEN _MMIO(0x3224)
74dff282
JB
2924#define DPFC_HT_MODIFY (1<<31)
2925
b52eb4dc 2926/* Framebuffer compression for Ironlake */
f0f59a00
VS
2927#define ILK_DPFC_CB_BASE _MMIO(0x43200)
2928#define ILK_DPFC_CONTROL _MMIO(0x43208)
da46f936 2929#define FBC_CTL_FALSE_COLOR (1<<10)
b52eb4dc
ZY
2930/* The bit 28-8 is reserved */
2931#define DPFC_RESERVED (0x1FFFFF00)
f0f59a00
VS
2932#define ILK_DPFC_RECOMP_CTL _MMIO(0x4320c)
2933#define ILK_DPFC_STATUS _MMIO(0x43210)
3fd5d1ec
VS
2934#define ILK_DPFC_COMP_SEG_MASK 0x7ff
2935#define IVB_FBC_STATUS2 _MMIO(0x43214)
2936#define IVB_FBC_COMP_SEG_MASK 0x7ff
2937#define BDW_FBC_COMP_SEG_MASK 0xfff
f0f59a00
VS
2938#define ILK_DPFC_FENCE_YOFF _MMIO(0x43218)
2939#define ILK_DPFC_CHICKEN _MMIO(0x43224)
d1b4eefd 2940#define ILK_DPFC_DISABLE_DUMMY0 (1<<8)
031cd8c8 2941#define ILK_DPFC_NUKE_ON_ANY_MODIFICATION (1<<23)
f0f59a00 2942#define ILK_FBC_RT_BASE _MMIO(0x2128)
b52eb4dc 2943#define ILK_FBC_RT_VALID (1<<0)
abe959c7 2944#define SNB_FBC_FRONT_BUFFER (1<<1)
b52eb4dc 2945
f0f59a00 2946#define ILK_DISPLAY_CHICKEN1 _MMIO(0x42000)
b52eb4dc 2947#define ILK_FBCQ_DIS (1<<22)
0206e353 2948#define ILK_PABSTRETCH_DIS (1<<21)
1398261a 2949
b52eb4dc 2950
9c04f015
YL
2951/*
2952 * Framebuffer compression for Sandybridge
2953 *
2954 * The following two registers are of type GTTMMADR
2955 */
f0f59a00 2956#define SNB_DPFC_CTL_SA _MMIO(0x100100)
9c04f015 2957#define SNB_CPU_FENCE_ENABLE (1<<29)
f0f59a00 2958#define DPFC_CPU_FENCE_OFFSET _MMIO(0x100104)
9c04f015 2959
abe959c7 2960/* Framebuffer compression for Ivybridge */
f0f59a00 2961#define IVB_FBC_RT_BASE _MMIO(0x7020)
abe959c7 2962
f0f59a00 2963#define IPS_CTL _MMIO(0x43408)
42db64ef 2964#define IPS_ENABLE (1 << 31)
9c04f015 2965
f0f59a00 2966#define MSG_FBC_REND_STATE _MMIO(0x50380)
fd3da6c9
RV
2967#define FBC_REND_NUKE (1<<2)
2968#define FBC_REND_CACHE_CLEAN (1<<1)
2969
585fb111
JB
2970/*
2971 * GPIO regs
2972 */
f0f59a00
VS
2973#define GPIOA _MMIO(0x5010)
2974#define GPIOB _MMIO(0x5014)
2975#define GPIOC _MMIO(0x5018)
2976#define GPIOD _MMIO(0x501c)
2977#define GPIOE _MMIO(0x5020)
2978#define GPIOF _MMIO(0x5024)
2979#define GPIOG _MMIO(0x5028)
2980#define GPIOH _MMIO(0x502c)
585fb111
JB
2981# define GPIO_CLOCK_DIR_MASK (1 << 0)
2982# define GPIO_CLOCK_DIR_IN (0 << 1)
2983# define GPIO_CLOCK_DIR_OUT (1 << 1)
2984# define GPIO_CLOCK_VAL_MASK (1 << 2)
2985# define GPIO_CLOCK_VAL_OUT (1 << 3)
2986# define GPIO_CLOCK_VAL_IN (1 << 4)
2987# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
2988# define GPIO_DATA_DIR_MASK (1 << 8)
2989# define GPIO_DATA_DIR_IN (0 << 9)
2990# define GPIO_DATA_DIR_OUT (1 << 9)
2991# define GPIO_DATA_VAL_MASK (1 << 10)
2992# define GPIO_DATA_VAL_OUT (1 << 11)
2993# define GPIO_DATA_VAL_IN (1 << 12)
2994# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
2995
f0f59a00 2996#define GMBUS0 _MMIO(dev_priv->gpio_mmio_base + 0x5100) /* clock/port select */
07e17a75 2997#define GMBUS_AKSV_SELECT (1<<11)
f899fc64
CW
2998#define GMBUS_RATE_100KHZ (0<<8)
2999#define GMBUS_RATE_50KHZ (1<<8)
3000#define GMBUS_RATE_400KHZ (2<<8) /* reserved on Pineview */
3001#define GMBUS_RATE_1MHZ (3<<8) /* reserved on Pineview */
3002#define GMBUS_HOLD_EXT (1<<7) /* 300ns hold time, rsvd on Pineview */
988c7015
JN
3003#define GMBUS_PIN_DISABLED 0
3004#define GMBUS_PIN_SSC 1
3005#define GMBUS_PIN_VGADDC 2
3006#define GMBUS_PIN_PANEL 3
3007#define GMBUS_PIN_DPD_CHV 3 /* HDMID_CHV */
3008#define GMBUS_PIN_DPC 4 /* HDMIC */
3009#define GMBUS_PIN_DPB 5 /* SDVO, HDMIB */
3010#define GMBUS_PIN_DPD 6 /* HDMID */
3011#define GMBUS_PIN_RESERVED 7 /* 7 reserved */
3d02352c 3012#define GMBUS_PIN_1_BXT 1 /* BXT+ (atom) and CNP+ (big core) */
4c272834
JN
3013#define GMBUS_PIN_2_BXT 2
3014#define GMBUS_PIN_3_BXT 3
3d02352c 3015#define GMBUS_PIN_4_CNP 4
5c749c52
AS
3016#define GMBUS_PIN_9_TC1_ICP 9
3017#define GMBUS_PIN_10_TC2_ICP 10
3018#define GMBUS_PIN_11_TC3_ICP 11
3019#define GMBUS_PIN_12_TC4_ICP 12
3020
3021#define GMBUS_NUM_PINS 13 /* including 0 */
f0f59a00 3022#define GMBUS1 _MMIO(dev_priv->gpio_mmio_base + 0x5104) /* command/status */
f899fc64
CW
3023#define GMBUS_SW_CLR_INT (1<<31)
3024#define GMBUS_SW_RDY (1<<30)
3025#define GMBUS_ENT (1<<29) /* enable timeout */
3026#define GMBUS_CYCLE_NONE (0<<25)
3027#define GMBUS_CYCLE_WAIT (1<<25)
3028#define GMBUS_CYCLE_INDEX (2<<25)
3029#define GMBUS_CYCLE_STOP (4<<25)
3030#define GMBUS_BYTE_COUNT_SHIFT 16
9535c475 3031#define GMBUS_BYTE_COUNT_MAX 256U
f899fc64
CW
3032#define GMBUS_SLAVE_INDEX_SHIFT 8
3033#define GMBUS_SLAVE_ADDR_SHIFT 1
3034#define GMBUS_SLAVE_READ (1<<0)
3035#define GMBUS_SLAVE_WRITE (0<<0)
f0f59a00 3036#define GMBUS2 _MMIO(dev_priv->gpio_mmio_base + 0x5108) /* status */
f899fc64
CW
3037#define GMBUS_INUSE (1<<15)
3038#define GMBUS_HW_WAIT_PHASE (1<<14)
3039#define GMBUS_STALL_TIMEOUT (1<<13)
3040#define GMBUS_INT (1<<12)
3041#define GMBUS_HW_RDY (1<<11)
3042#define GMBUS_SATOER (1<<10)
3043#define GMBUS_ACTIVE (1<<9)
f0f59a00
VS
3044#define GMBUS3 _MMIO(dev_priv->gpio_mmio_base + 0x510c) /* data buffer bytes 3-0 */
3045#define GMBUS4 _MMIO(dev_priv->gpio_mmio_base + 0x5110) /* interrupt mask (Pineview+) */
f899fc64
CW
3046#define GMBUS_SLAVE_TIMEOUT_EN (1<<4)
3047#define GMBUS_NAK_EN (1<<3)
3048#define GMBUS_IDLE_EN (1<<2)
3049#define GMBUS_HW_WAIT_EN (1<<1)
3050#define GMBUS_HW_RDY_EN (1<<0)
f0f59a00 3051#define GMBUS5 _MMIO(dev_priv->gpio_mmio_base + 0x5120) /* byte index */
f899fc64 3052#define GMBUS_2BYTE_INDEX_EN (1<<31)
f0217c42 3053
585fb111
JB
3054/*
3055 * Clock control & power management
3056 */
2d401b17
VS
3057#define _DPLL_A (dev_priv->info.display_mmio_offset + 0x6014)
3058#define _DPLL_B (dev_priv->info.display_mmio_offset + 0x6018)
3059#define _CHV_DPLL_C (dev_priv->info.display_mmio_offset + 0x6030)
f0f59a00 3060#define DPLL(pipe) _MMIO_PIPE3((pipe), _DPLL_A, _DPLL_B, _CHV_DPLL_C)
585fb111 3061
f0f59a00
VS
3062#define VGA0 _MMIO(0x6000)
3063#define VGA1 _MMIO(0x6004)
3064#define VGA_PD _MMIO(0x6010)
585fb111
JB
3065#define VGA0_PD_P2_DIV_4 (1 << 7)
3066#define VGA0_PD_P1_DIV_2 (1 << 5)
3067#define VGA0_PD_P1_SHIFT 0
3068#define VGA0_PD_P1_MASK (0x1f << 0)
3069#define VGA1_PD_P2_DIV_4 (1 << 15)
3070#define VGA1_PD_P1_DIV_2 (1 << 13)
3071#define VGA1_PD_P1_SHIFT 8
3072#define VGA1_PD_P1_MASK (0x1f << 8)
585fb111 3073#define DPLL_VCO_ENABLE (1 << 31)
4a33e48d
DV
3074#define DPLL_SDVO_HIGH_SPEED (1 << 30)
3075#define DPLL_DVO_2X_MODE (1 << 30)
25eb05fc 3076#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 3077#define DPLL_SYNCLOCK_ENABLE (1 << 29)
60bfe44f 3078#define DPLL_REF_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
3079#define DPLL_VGA_MODE_DIS (1 << 28)
3080#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
3081#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
3082#define DPLL_MODE_MASK (3 << 26)
3083#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
3084#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
3085#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
3086#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
3087#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
3088#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 3089#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
a0c4da24 3090#define DPLL_LOCK_VLV (1<<15)
598fac6b 3091#define DPLL_INTEGRATED_CRI_CLK_VLV (1<<14)
60bfe44f
VS
3092#define DPLL_INTEGRATED_REF_CLK_VLV (1<<13)
3093#define DPLL_SSC_REF_CLK_CHV (1<<13)
598fac6b
DV
3094#define DPLL_PORTC_READY_MASK (0xf << 4)
3095#define DPLL_PORTB_READY_MASK (0xf)
585fb111 3096
585fb111 3097#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
00fc31b7
CML
3098
3099/* Additional CHV pll/phy registers */
f0f59a00 3100#define DPIO_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x6240)
00fc31b7 3101#define DPLL_PORTD_READY_MASK (0xf)
f0f59a00 3102#define DISPLAY_PHY_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x60100)
e0fce78f 3103#define PHY_CH_POWER_DOWN_OVRD_EN(phy, ch) (1 << (2*(phy)+(ch)+27))
bc284542
VS
3104#define PHY_LDO_DELAY_0NS 0x0
3105#define PHY_LDO_DELAY_200NS 0x1
3106#define PHY_LDO_DELAY_600NS 0x2
3107#define PHY_LDO_SEQ_DELAY(delay, phy) ((delay) << (2*(phy)+23))
e0fce78f 3108#define PHY_CH_POWER_DOWN_OVRD(mask, phy, ch) ((mask) << (8*(phy)+4*(ch)+11))
70722468
VS
3109#define PHY_CH_SU_PSR 0x1
3110#define PHY_CH_DEEP_PSR 0x7
3111#define PHY_CH_POWER_MODE(mode, phy, ch) ((mode) << (6*(phy)+3*(ch)+2))
3112#define PHY_COM_LANE_RESET_DEASSERT(phy) (1 << (phy))
f0f59a00 3113#define DISPLAY_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x60104)
efd814b7 3114#define PHY_POWERGOOD(phy) (((phy) == DPIO_PHY0) ? (1<<31) : (1<<30))
30142273
VS
3115#define PHY_STATUS_CMN_LDO(phy, ch) (1 << (6-(6*(phy)+3*(ch))))
3116#define PHY_STATUS_SPLINE_LDO(phy, ch, spline) (1 << (8-(6*(phy)+3*(ch)+(spline))))
076ed3b2 3117
585fb111
JB
3118/*
3119 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
3120 * this field (only one bit may be set).
3121 */
3122#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
3123#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 3124#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
3125/* i830, required in DVO non-gang */
3126#define PLL_P2_DIVIDE_BY_4 (1 << 23)
3127#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
3128#define PLL_REF_INPUT_DREFCLK (0 << 13)
3129#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
3130#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
3131#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
3132#define PLL_REF_INPUT_MASK (3 << 13)
3133#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 3134/* Ironlake */
b9055052
ZW
3135# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
3136# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
3137# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x)-1) << 9)
3138# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
3139# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
3140
585fb111
JB
3141/*
3142 * Parallel to Serial Load Pulse phase selection.
3143 * Selects the phase for the 10X DPLL clock for the PCIe
3144 * digital display port. The range is 4 to 13; 10 or more
3145 * is just a flip delay. The default is 6
3146 */
3147#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
3148#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
3149/*
3150 * SDVO multiplier for 945G/GM. Not used on 965.
3151 */
3152#define SDVO_MULTIPLIER_MASK 0x000000ff
3153#define SDVO_MULTIPLIER_SHIFT_HIRES 4
3154#define SDVO_MULTIPLIER_SHIFT_VGA 0
a57c774a 3155
2d401b17
VS
3156#define _DPLL_A_MD (dev_priv->info.display_mmio_offset + 0x601c)
3157#define _DPLL_B_MD (dev_priv->info.display_mmio_offset + 0x6020)
3158#define _CHV_DPLL_C_MD (dev_priv->info.display_mmio_offset + 0x603c)
f0f59a00 3159#define DPLL_MD(pipe) _MMIO_PIPE3((pipe), _DPLL_A_MD, _DPLL_B_MD, _CHV_DPLL_C_MD)
a57c774a 3160
585fb111
JB
3161/*
3162 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
3163 *
3164 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
3165 */
3166#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
3167#define DPLL_MD_UDI_DIVIDER_SHIFT 24
3168/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
3169#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
3170#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
3171/*
3172 * SDVO/UDI pixel multiplier.
3173 *
3174 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
3175 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
3176 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
3177 * dummy bytes in the datastream at an increased clock rate, with both sides of
3178 * the link knowing how many bytes are fill.
3179 *
3180 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
3181 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
3182 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
3183 * through an SDVO command.
3184 *
3185 * This register field has values of multiplication factor minus 1, with
3186 * a maximum multiplier of 5 for SDVO.
3187 */
3188#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
3189#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
3190/*
3191 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
3192 * This best be set to the default value (3) or the CRT won't work. No,
3193 * I don't entirely understand what this does...
3194 */
3195#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
3196#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
25eb05fc 3197
19ab4ed3
VS
3198#define RAWCLK_FREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6024)
3199
f0f59a00
VS
3200#define _FPA0 0x6040
3201#define _FPA1 0x6044
3202#define _FPB0 0x6048
3203#define _FPB1 0x604c
3204#define FP0(pipe) _MMIO_PIPE(pipe, _FPA0, _FPB0)
3205#define FP1(pipe) _MMIO_PIPE(pipe, _FPA1, _FPB1)
585fb111 3206#define FP_N_DIV_MASK 0x003f0000
f2b115e6 3207#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
3208#define FP_N_DIV_SHIFT 16
3209#define FP_M1_DIV_MASK 0x00003f00
3210#define FP_M1_DIV_SHIFT 8
3211#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 3212#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111 3213#define FP_M2_DIV_SHIFT 0
f0f59a00 3214#define DPLL_TEST _MMIO(0x606c)
585fb111
JB
3215#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
3216#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
3217#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
3218#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
3219#define DPLLB_TEST_N_BYPASS (1 << 19)
3220#define DPLLB_TEST_M_BYPASS (1 << 18)
3221#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
3222#define DPLLA_TEST_N_BYPASS (1 << 3)
3223#define DPLLA_TEST_M_BYPASS (1 << 2)
3224#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
f0f59a00 3225#define D_STATE _MMIO(0x6104)
dc96e9b8 3226#define DSTATE_GFX_RESET_I830 (1<<6)
652c393a
JB
3227#define DSTATE_PLL_D3_OFF (1<<3)
3228#define DSTATE_GFX_CLOCK_GATING (1<<1)
3229#define DSTATE_DOT_CLOCK_GATING (1<<0)
f0f59a00 3230#define DSPCLK_GATE_D _MMIO(dev_priv->info.display_mmio_offset + 0x6200)
652c393a
JB
3231# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
3232# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
3233# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
3234# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
3235# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
3236# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
3237# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
ad8059cf 3238# define PNV_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 24) /* pnv */
652c393a
JB
3239# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
3240# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
3241# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
3242# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
3243# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
3244# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
3245# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
3246# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
3247# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
3248# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
3249# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
3250# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
3251# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
3252# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
3253# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3254# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
3255# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
3256# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
3257# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
3258# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
3259# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
646b4269 3260/*
652c393a
JB
3261 * This bit must be set on the 830 to prevent hangs when turning off the
3262 * overlay scaler.
3263 */
3264# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
3265# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
3266# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
3267# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
3268# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
3269
f0f59a00 3270#define RENCLK_GATE_D1 _MMIO(0x6204)
652c393a
JB
3271# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
3272# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
3273# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
3274# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
3275# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
3276# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
3277# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
3278# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
3279# define MAG_CLOCK_GATE_DISABLE (1 << 5)
646b4269 3280/* This bit must be unset on 855,865 */
652c393a
JB
3281# define MECI_CLOCK_GATE_DISABLE (1 << 4)
3282# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
3283# define MEC_CLOCK_GATE_DISABLE (1 << 2)
3284# define MECO_CLOCK_GATE_DISABLE (1 << 1)
646b4269 3285/* This bit must be set on 855,865. */
652c393a
JB
3286# define SV_CLOCK_GATE_DISABLE (1 << 0)
3287# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
3288# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
3289# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
3290# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
3291# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
3292# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
3293# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
3294# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
3295# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
3296# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
3297# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
3298# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
3299# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
3300# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
3301# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
3302# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
3303# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
3304
3305# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
646b4269 3306/* This bit must always be set on 965G/965GM */
652c393a
JB
3307# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
3308# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
3309# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
3310# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
3311# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
3312# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
646b4269 3313/* This bit must always be set on 965G */
652c393a
JB
3314# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
3315# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
3316# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
3317# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
3318# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
3319# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
3320# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
3321# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
3322# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
3323# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
3324# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
3325# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
3326# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
3327# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
3328# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
3329# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
3330# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
3331# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
3332# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
3333
f0f59a00 3334#define RENCLK_GATE_D2 _MMIO(0x6208)
652c393a
JB
3335#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
3336#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
3337#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
fa4f53c4 3338
f0f59a00 3339#define VDECCLK_GATE_D _MMIO(0x620C) /* g4x only */
fa4f53c4
VS
3340#define VCP_UNIT_CLOCK_GATE_DISABLE (1 << 4)
3341
f0f59a00
VS
3342#define RAMCLK_GATE_D _MMIO(0x6210) /* CRL only */
3343#define DEUC _MMIO(0x6214) /* CRL only */
585fb111 3344
f0f59a00 3345#define FW_BLC_SELF_VLV _MMIO(VLV_DISPLAY_BASE + 0x6500)
ceb04246
JB
3346#define FW_CSPWRDWNEN (1<<15)
3347
f0f59a00 3348#define MI_ARB_VLV _MMIO(VLV_DISPLAY_BASE + 0x6504)
e0d8d59b 3349
f0f59a00 3350#define CZCLK_CDCLK_FREQ_RATIO _MMIO(VLV_DISPLAY_BASE + 0x6508)
24eb2d59
CML
3351#define CDCLK_FREQ_SHIFT 4
3352#define CDCLK_FREQ_MASK (0x1f << CDCLK_FREQ_SHIFT)
3353#define CZCLK_FREQ_MASK 0xf
1e69cd74 3354
f0f59a00 3355#define GCI_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x650C)
1e69cd74
VS
3356#define PFI_CREDIT_63 (9 << 28) /* chv only */
3357#define PFI_CREDIT_31 (8 << 28) /* chv only */
3358#define PFI_CREDIT(x) (((x) - 8) << 28) /* 8-15 */
3359#define PFI_CREDIT_RESEND (1 << 27)
3360#define VGA_FAST_MODE_DISABLE (1 << 14)
3361
f0f59a00 3362#define GMBUSFREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6510)
24eb2d59 3363
585fb111
JB
3364/*
3365 * Palette regs
3366 */
a57c774a
AK
3367#define PALETTE_A_OFFSET 0xa000
3368#define PALETTE_B_OFFSET 0xa800
84fd4f4e 3369#define CHV_PALETTE_C_OFFSET 0xc000
f0f59a00
VS
3370#define PALETTE(pipe, i) _MMIO(dev_priv->info.palette_offsets[pipe] + \
3371 dev_priv->info.display_mmio_offset + (i) * 4)
585fb111 3372
673a394b
EA
3373/* MCH MMIO space */
3374
3375/*
3376 * MCHBAR mirror.
3377 *
3378 * This mirrors the MCHBAR MMIO space whose location is determined by
3379 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
3380 * every way. It is not accessible from the CP register read instructions.
3381 *
515b2392
PZ
3382 * Starting from Haswell, you can't write registers using the MCHBAR mirror,
3383 * just read.
673a394b
EA
3384 */
3385#define MCHBAR_MIRROR_BASE 0x10000
3386
1398261a
YL
3387#define MCHBAR_MIRROR_BASE_SNB 0x140000
3388
f0f59a00
VS
3389#define CTG_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x34)
3390#define ELK_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x48)
7d316aec
VS
3391#define G4X_STOLEN_RESERVED_ADDR1_MASK (0xFFFF << 16)
3392#define G4X_STOLEN_RESERVED_ADDR2_MASK (0xFFF << 4)
db7fb605 3393#define G4X_STOLEN_RESERVED_ENABLE (1 << 0)
7d316aec 3394
3ebecd07 3395/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
f0f59a00 3396#define DCLK _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5e04)
3ebecd07 3397
646b4269 3398/* 915-945 and GM965 MCH register controlling DRAM channel access */
f0f59a00 3399#define DCC _MMIO(MCHBAR_MIRROR_BASE + 0x200)
673a394b
EA
3400#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
3401#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
3402#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
3403#define DCC_ADDRESSING_MODE_MASK (3 << 0)
3404#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 3405#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
f0f59a00 3406#define DCC2 _MMIO(MCHBAR_MIRROR_BASE + 0x204)
656bfa3a 3407#define DCC2_MODIFIED_ENHANCED_DISABLE (1 << 20)
673a394b 3408
646b4269 3409/* Pineview MCH register contains DDR3 setting */
f0f59a00 3410#define CSHRDDR3CTL _MMIO(MCHBAR_MIRROR_BASE + 0x1a8)
95534263
LP
3411#define CSHRDDR3CTL_DDR3 (1 << 2)
3412
646b4269 3413/* 965 MCH register controlling DRAM channel configuration */
f0f59a00
VS
3414#define C0DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x206)
3415#define C1DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x606)
673a394b 3416
646b4269 3417/* snb MCH registers for reading the DRAM channel configuration */
f0f59a00
VS
3418#define MAD_DIMM_C0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5004)
3419#define MAD_DIMM_C1 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5008)
3420#define MAD_DIMM_C2 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
f691e2f4
DV
3421#define MAD_DIMM_ECC_MASK (0x3 << 24)
3422#define MAD_DIMM_ECC_OFF (0x0 << 24)
3423#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
3424#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
3425#define MAD_DIMM_ECC_ON (0x3 << 24)
3426#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
3427#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
3428#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
3429#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
3430#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
3431#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
3432#define MAD_DIMM_A_SELECT (0x1 << 16)
3433/* DIMM sizes are in multiples of 256mb. */
3434#define MAD_DIMM_B_SIZE_SHIFT 8
3435#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
3436#define MAD_DIMM_A_SIZE_SHIFT 0
3437#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
3438
646b4269 3439/* snb MCH registers for priority tuning */
f0f59a00 3440#define MCH_SSKPD _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1d7aaa0c
DV
3441#define MCH_SSKPD_WM0_MASK 0x3f
3442#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 3443
f0f59a00 3444#define MCH_SECP_NRG_STTS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x592c)
ec013e7f 3445
b11248df 3446/* Clocking configuration register */
f0f59a00 3447#define CLKCFG _MMIO(MCHBAR_MIRROR_BASE + 0xc00)
7662c8bd 3448#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
3449#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
3450#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
3451#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
3452#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
6f38123e 3453#define CLKCFG_FSB_1067_ALT (0 << 0) /* hrawclk 266 */
b11248df 3454#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
6f38123e
VS
3455/*
3456 * Note that on at least on ELK the below value is reported for both
3457 * 333 and 400 MHz BIOS FSB setting, but given that the gmch datasheet
3458 * lists only 200/266/333 MHz FSB as supported let's decode it as 333 MHz.
3459 */
3460#define CLKCFG_FSB_1333_ALT (4 << 0) /* hrawclk 333 */
b11248df 3461#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
3462#define CLKCFG_MEM_533 (1 << 4)
3463#define CLKCFG_MEM_667 (2 << 4)
3464#define CLKCFG_MEM_800 (3 << 4)
3465#define CLKCFG_MEM_MASK (7 << 4)
3466
f0f59a00
VS
3467#define HPLLVCO _MMIO(MCHBAR_MIRROR_BASE + 0xc38)
3468#define HPLLVCO_MOBILE _MMIO(MCHBAR_MIRROR_BASE + 0xc0f)
34edce2f 3469
f0f59a00 3470#define TSC1 _MMIO(0x11001)
ea056c14 3471#define TSE (1<<0)
f0f59a00
VS
3472#define TR1 _MMIO(0x11006)
3473#define TSFS _MMIO(0x11020)
7648fa99
JB
3474#define TSFS_SLOPE_MASK 0x0000ff00
3475#define TSFS_SLOPE_SHIFT 8
3476#define TSFS_INTR_MASK 0x000000ff
3477
f0f59a00
VS
3478#define CRSTANDVID _MMIO(0x11100)
3479#define PXVFREQ(fstart) _MMIO(0x11110 + (fstart) * 4) /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
f97108d1
JB
3480#define PXVFREQ_PX_MASK 0x7f000000
3481#define PXVFREQ_PX_SHIFT 24
f0f59a00
VS
3482#define VIDFREQ_BASE _MMIO(0x11110)
3483#define VIDFREQ1 _MMIO(0x11110) /* VIDFREQ1-4 (0x1111c) (Cantiga) */
3484#define VIDFREQ2 _MMIO(0x11114)
3485#define VIDFREQ3 _MMIO(0x11118)
3486#define VIDFREQ4 _MMIO(0x1111c)
f97108d1
JB
3487#define VIDFREQ_P0_MASK 0x1f000000
3488#define VIDFREQ_P0_SHIFT 24
3489#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
3490#define VIDFREQ_P0_CSCLK_SHIFT 20
3491#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
3492#define VIDFREQ_P0_CRCLK_SHIFT 16
3493#define VIDFREQ_P1_MASK 0x00001f00
3494#define VIDFREQ_P1_SHIFT 8
3495#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
3496#define VIDFREQ_P1_CSCLK_SHIFT 4
3497#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
f0f59a00
VS
3498#define INTTOEXT_BASE_ILK _MMIO(0x11300)
3499#define INTTOEXT_BASE _MMIO(0x11120) /* INTTOEXT1-8 (0x1113c) */
f97108d1
JB
3500#define INTTOEXT_MAP3_SHIFT 24
3501#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
3502#define INTTOEXT_MAP2_SHIFT 16
3503#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
3504#define INTTOEXT_MAP1_SHIFT 8
3505#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
3506#define INTTOEXT_MAP0_SHIFT 0
3507#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
f0f59a00 3508#define MEMSWCTL _MMIO(0x11170) /* Ironlake only */
f97108d1
JB
3509#define MEMCTL_CMD_MASK 0xe000
3510#define MEMCTL_CMD_SHIFT 13
3511#define MEMCTL_CMD_RCLK_OFF 0
3512#define MEMCTL_CMD_RCLK_ON 1
3513#define MEMCTL_CMD_CHFREQ 2
3514#define MEMCTL_CMD_CHVID 3
3515#define MEMCTL_CMD_VMMOFF 4
3516#define MEMCTL_CMD_VMMON 5
3517#define MEMCTL_CMD_STS (1<<12) /* write 1 triggers command, clears
3518 when command complete */
3519#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
3520#define MEMCTL_FREQ_SHIFT 8
3521#define MEMCTL_SFCAVM (1<<7)
3522#define MEMCTL_TGT_VID_MASK 0x007f
f0f59a00
VS
3523#define MEMIHYST _MMIO(0x1117c)
3524#define MEMINTREN _MMIO(0x11180) /* 16 bits */
f97108d1
JB
3525#define MEMINT_RSEXIT_EN (1<<8)
3526#define MEMINT_CX_SUPR_EN (1<<7)
3527#define MEMINT_CONT_BUSY_EN (1<<6)
3528#define MEMINT_AVG_BUSY_EN (1<<5)
3529#define MEMINT_EVAL_CHG_EN (1<<4)
3530#define MEMINT_MON_IDLE_EN (1<<3)
3531#define MEMINT_UP_EVAL_EN (1<<2)
3532#define MEMINT_DOWN_EVAL_EN (1<<1)
3533#define MEMINT_SW_CMD_EN (1<<0)
f0f59a00 3534#define MEMINTRSTR _MMIO(0x11182) /* 16 bits */
f97108d1
JB
3535#define MEM_RSEXIT_MASK 0xc000
3536#define MEM_RSEXIT_SHIFT 14
3537#define MEM_CONT_BUSY_MASK 0x3000
3538#define MEM_CONT_BUSY_SHIFT 12
3539#define MEM_AVG_BUSY_MASK 0x0c00
3540#define MEM_AVG_BUSY_SHIFT 10
3541#define MEM_EVAL_CHG_MASK 0x0300
3542#define MEM_EVAL_BUSY_SHIFT 8
3543#define MEM_MON_IDLE_MASK 0x00c0
3544#define MEM_MON_IDLE_SHIFT 6
3545#define MEM_UP_EVAL_MASK 0x0030
3546#define MEM_UP_EVAL_SHIFT 4
3547#define MEM_DOWN_EVAL_MASK 0x000c
3548#define MEM_DOWN_EVAL_SHIFT 2
3549#define MEM_SW_CMD_MASK 0x0003
3550#define MEM_INT_STEER_GFX 0
3551#define MEM_INT_STEER_CMR 1
3552#define MEM_INT_STEER_SMI 2
3553#define MEM_INT_STEER_SCI 3
f0f59a00 3554#define MEMINTRSTS _MMIO(0x11184)
f97108d1
JB
3555#define MEMINT_RSEXIT (1<<7)
3556#define MEMINT_CONT_BUSY (1<<6)
3557#define MEMINT_AVG_BUSY (1<<5)
3558#define MEMINT_EVAL_CHG (1<<4)
3559#define MEMINT_MON_IDLE (1<<3)
3560#define MEMINT_UP_EVAL (1<<2)
3561#define MEMINT_DOWN_EVAL (1<<1)
3562#define MEMINT_SW_CMD (1<<0)
f0f59a00 3563#define MEMMODECTL _MMIO(0x11190)
f97108d1
JB
3564#define MEMMODE_BOOST_EN (1<<31)
3565#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
3566#define MEMMODE_BOOST_FREQ_SHIFT 24
3567#define MEMMODE_IDLE_MODE_MASK 0x00030000
3568#define MEMMODE_IDLE_MODE_SHIFT 16
3569#define MEMMODE_IDLE_MODE_EVAL 0
3570#define MEMMODE_IDLE_MODE_CONT 1
3571#define MEMMODE_HWIDLE_EN (1<<15)
3572#define MEMMODE_SWMODE_EN (1<<14)
3573#define MEMMODE_RCLK_GATE (1<<13)
3574#define MEMMODE_HW_UPDATE (1<<12)
3575#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
3576#define MEMMODE_FSTART_SHIFT 8
3577#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
3578#define MEMMODE_FMAX_SHIFT 4
3579#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
f0f59a00
VS
3580#define RCBMAXAVG _MMIO(0x1119c)
3581#define MEMSWCTL2 _MMIO(0x1119e) /* Cantiga only */
f97108d1
JB
3582#define SWMEMCMD_RENDER_OFF (0 << 13)
3583#define SWMEMCMD_RENDER_ON (1 << 13)
3584#define SWMEMCMD_SWFREQ (2 << 13)
3585#define SWMEMCMD_TARVID (3 << 13)
3586#define SWMEMCMD_VRM_OFF (4 << 13)
3587#define SWMEMCMD_VRM_ON (5 << 13)
3588#define CMDSTS (1<<12)
3589#define SFCAVM (1<<11)
3590#define SWFREQ_MASK 0x0380 /* P0-7 */
3591#define SWFREQ_SHIFT 7
3592#define TARVID_MASK 0x001f
f0f59a00
VS
3593#define MEMSTAT_CTG _MMIO(0x111a0)
3594#define RCBMINAVG _MMIO(0x111a0)
3595#define RCUPEI _MMIO(0x111b0)
3596#define RCDNEI _MMIO(0x111b4)
3597#define RSTDBYCTL _MMIO(0x111b8)
88271da3
JB
3598#define RS1EN (1<<31)
3599#define RS2EN (1<<30)
3600#define RS3EN (1<<29)
3601#define D3RS3EN (1<<28) /* Display D3 imlies RS3 */
3602#define SWPROMORSX (1<<27) /* RSx promotion timers ignored */
3603#define RCWAKERW (1<<26) /* Resetwarn from PCH causes wakeup */
3604#define DPRSLPVREN (1<<25) /* Fast voltage ramp enable */
3605#define GFXTGHYST (1<<24) /* Hysteresis to allow trunk gating */
3606#define RCX_SW_EXIT (1<<23) /* Leave RSx and prevent re-entry */
3607#define RSX_STATUS_MASK (7<<20)
3608#define RSX_STATUS_ON (0<<20)
3609#define RSX_STATUS_RC1 (1<<20)
3610#define RSX_STATUS_RC1E (2<<20)
3611#define RSX_STATUS_RS1 (3<<20)
3612#define RSX_STATUS_RS2 (4<<20) /* aka rc6 */
3613#define RSX_STATUS_RSVD (5<<20) /* deep rc6 unsupported on ilk */
3614#define RSX_STATUS_RS3 (6<<20) /* rs3 unsupported on ilk */
3615#define RSX_STATUS_RSVD2 (7<<20)
3616#define UWRCRSXE (1<<19) /* wake counter limit prevents rsx */
3617#define RSCRP (1<<18) /* rs requests control on rs1/2 reqs */
3618#define JRSC (1<<17) /* rsx coupled to cpu c-state */
3619#define RS2INC0 (1<<16) /* allow rs2 in cpu c0 */
3620#define RS1CONTSAV_MASK (3<<14)
3621#define RS1CONTSAV_NO_RS1 (0<<14) /* rs1 doesn't save/restore context */
3622#define RS1CONTSAV_RSVD (1<<14)
3623#define RS1CONTSAV_SAVE_RS1 (2<<14) /* rs1 saves context */
3624#define RS1CONTSAV_FULL_RS1 (3<<14) /* rs1 saves and restores context */
3625#define NORMSLEXLAT_MASK (3<<12)
3626#define SLOW_RS123 (0<<12)
3627#define SLOW_RS23 (1<<12)
3628#define SLOW_RS3 (2<<12)
3629#define NORMAL_RS123 (3<<12)
3630#define RCMODE_TIMEOUT (1<<11) /* 0 is eval interval method */
3631#define IMPROMOEN (1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
3632#define RCENTSYNC (1<<9) /* rs coupled to cpu c-state (3/6/7) */
3633#define STATELOCK (1<<7) /* locked to rs_cstate if 0 */
3634#define RS_CSTATE_MASK (3<<4)
3635#define RS_CSTATE_C367_RS1 (0<<4)
3636#define RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
3637#define RS_CSTATE_RSVD (2<<4)
3638#define RS_CSTATE_C367_RS2 (3<<4)
3639#define REDSAVES (1<<3) /* no context save if was idle during rs0 */
3640#define REDRESTORES (1<<2) /* no restore if was idle during rs0 */
f0f59a00
VS
3641#define VIDCTL _MMIO(0x111c0)
3642#define VIDSTS _MMIO(0x111c8)
3643#define VIDSTART _MMIO(0x111cc) /* 8 bits */
3644#define MEMSTAT_ILK _MMIO(0x111f8)
f97108d1
JB
3645#define MEMSTAT_VID_MASK 0x7f00
3646#define MEMSTAT_VID_SHIFT 8
3647#define MEMSTAT_PSTATE_MASK 0x00f8
3648#define MEMSTAT_PSTATE_SHIFT 3
3649#define MEMSTAT_MON_ACTV (1<<2)
3650#define MEMSTAT_SRC_CTL_MASK 0x0003
3651#define MEMSTAT_SRC_CTL_CORE 0
3652#define MEMSTAT_SRC_CTL_TRB 1
3653#define MEMSTAT_SRC_CTL_THM 2
3654#define MEMSTAT_SRC_CTL_STDBY 3
f0f59a00
VS
3655#define RCPREVBSYTUPAVG _MMIO(0x113b8)
3656#define RCPREVBSYTDNAVG _MMIO(0x113bc)
3657#define PMMISC _MMIO(0x11214)
ea056c14 3658#define MCPPCE_EN (1<<0) /* enable PM_MSG from PCH->MPC */
f0f59a00
VS
3659#define SDEW _MMIO(0x1124c)
3660#define CSIEW0 _MMIO(0x11250)
3661#define CSIEW1 _MMIO(0x11254)
3662#define CSIEW2 _MMIO(0x11258)
3663#define PEW(i) _MMIO(0x1125c + (i) * 4) /* 5 registers */
3664#define DEW(i) _MMIO(0x11270 + (i) * 4) /* 3 registers */
3665#define MCHAFE _MMIO(0x112c0)
3666#define CSIEC _MMIO(0x112e0)
3667#define DMIEC _MMIO(0x112e4)
3668#define DDREC _MMIO(0x112e8)
3669#define PEG0EC _MMIO(0x112ec)
3670#define PEG1EC _MMIO(0x112f0)
3671#define GFXEC _MMIO(0x112f4)
3672#define RPPREVBSYTUPAVG _MMIO(0x113b8)
3673#define RPPREVBSYTDNAVG _MMIO(0x113bc)
3674#define ECR _MMIO(0x11600)
7648fa99
JB
3675#define ECR_GPFE (1<<31)
3676#define ECR_IMONE (1<<30)
3677#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
f0f59a00
VS
3678#define OGW0 _MMIO(0x11608)
3679#define OGW1 _MMIO(0x1160c)
3680#define EG0 _MMIO(0x11610)
3681#define EG1 _MMIO(0x11614)
3682#define EG2 _MMIO(0x11618)
3683#define EG3 _MMIO(0x1161c)
3684#define EG4 _MMIO(0x11620)
3685#define EG5 _MMIO(0x11624)
3686#define EG6 _MMIO(0x11628)
3687#define EG7 _MMIO(0x1162c)
3688#define PXW(i) _MMIO(0x11664 + (i) * 4) /* 4 registers */
3689#define PXWL(i) _MMIO(0x11680 + (i) * 8) /* 8 registers */
3690#define LCFUSE02 _MMIO(0x116c0)
7648fa99 3691#define LCFUSE_HIV_MASK 0x000000ff
f0f59a00
VS
3692#define CSIPLL0 _MMIO(0x12c10)
3693#define DDRMPLL1 _MMIO(0X12c20)
3694#define PEG_BAND_GAP_DATA _MMIO(0x14d68)
7d57382e 3695
f0f59a00 3696#define GEN6_GT_THREAD_STATUS_REG _MMIO(0x13805c)
c4de7b0f 3697#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
c4de7b0f 3698
f0f59a00
VS
3699#define GEN6_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5948)
3700#define BXT_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7070)
3701#define GEN6_RP_STATE_LIMITS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5994)
3702#define GEN6_RP_STATE_CAP _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5998)
3703#define BXT_RP_STATE_CAP _MMIO(0x138170)
3b8d8d91 3704
8a292d01
VS
3705/*
3706 * Make these a multiple of magic 25 to avoid SNB (eg. Dell XPS
3707 * 8300) freezing up around GPU hangs. Looks as if even
3708 * scheduling/timer interrupts start misbehaving if the RPS
3709 * EI/thresholds are "bad", leading to a very sluggish or even
3710 * frozen machine.
3711 */
3712#define INTERVAL_1_28_US(us) roundup(((us) * 100) >> 7, 25)
de43ae9d 3713#define INTERVAL_1_33_US(us) (((us) * 3) >> 2)
26148bd3 3714#define INTERVAL_0_833_US(us) (((us) * 6) / 5)
35ceabf3 3715#define GT_INTERVAL_FROM_US(dev_priv, us) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3716 (IS_GEN9_LP(dev_priv) ? \
26148bd3
AG
3717 INTERVAL_0_833_US(us) : \
3718 INTERVAL_1_33_US(us)) : \
de43ae9d
AG
3719 INTERVAL_1_28_US(us))
3720
52530cba
AG
3721#define INTERVAL_1_28_TO_US(interval) (((interval) << 7) / 100)
3722#define INTERVAL_1_33_TO_US(interval) (((interval) << 2) / 3)
3723#define INTERVAL_0_833_TO_US(interval) (((interval) * 5) / 6)
35ceabf3 3724#define GT_PM_INTERVAL_TO_US(dev_priv, interval) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3725 (IS_GEN9_LP(dev_priv) ? \
52530cba
AG
3726 INTERVAL_0_833_TO_US(interval) : \
3727 INTERVAL_1_33_TO_US(interval)) : \
3728 INTERVAL_1_28_TO_US(interval))
3729
aa40d6bb
ZN
3730/*
3731 * Logical Context regs
3732 */
ec62ed3e
CW
3733#define CCID _MMIO(0x2180)
3734#define CCID_EN BIT(0)
3735#define CCID_EXTENDED_STATE_RESTORE BIT(2)
3736#define CCID_EXTENDED_STATE_SAVE BIT(3)
e8016055
VS
3737/*
3738 * Notes on SNB/IVB/VLV context size:
3739 * - Power context is saved elsewhere (LLC or stolen)
3740 * - Ring/execlist context is saved on SNB, not on IVB
3741 * - Extended context size already includes render context size
3742 * - We always need to follow the extended context size.
3743 * SNB BSpec has comments indicating that we should use the
3744 * render context size instead if execlists are disabled, but
3745 * based on empirical testing that's just nonsense.
3746 * - Pipelined/VF state is saved on SNB/IVB respectively
3747 * - GT1 size just indicates how much of render context
3748 * doesn't need saving on GT1
3749 */
f0f59a00 3750#define CXT_SIZE _MMIO(0x21a0)
68d97538
VS
3751#define GEN6_CXT_POWER_SIZE(cxt_reg) (((cxt_reg) >> 24) & 0x3f)
3752#define GEN6_CXT_RING_SIZE(cxt_reg) (((cxt_reg) >> 18) & 0x3f)
3753#define GEN6_CXT_RENDER_SIZE(cxt_reg) (((cxt_reg) >> 12) & 0x3f)
3754#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) (((cxt_reg) >> 6) & 0x3f)
3755#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) (((cxt_reg) >> 0) & 0x3f)
e8016055 3756#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_RING_SIZE(cxt_reg) + \
fe1cc68f
BW
3757 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
3758 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
f0f59a00 3759#define GEN7_CXT_SIZE _MMIO(0x21a8)
68d97538
VS
3760#define GEN7_CXT_POWER_SIZE(ctx_reg) (((ctx_reg) >> 25) & 0x7f)
3761#define GEN7_CXT_RING_SIZE(ctx_reg) (((ctx_reg) >> 22) & 0x7)
3762#define GEN7_CXT_RENDER_SIZE(ctx_reg) (((ctx_reg) >> 16) & 0x3f)
3763#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) (((ctx_reg) >> 9) & 0x7f)
3764#define GEN7_CXT_GT1_SIZE(ctx_reg) (((ctx_reg) >> 6) & 0x7)
3765#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) (((ctx_reg) >> 0) & 0x3f)
e8016055 3766#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
4f91dd6f 3767 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
8897644a 3768
c01fc532
ZW
3769enum {
3770 INTEL_ADVANCED_CONTEXT = 0,
3771 INTEL_LEGACY_32B_CONTEXT,
3772 INTEL_ADVANCED_AD_CONTEXT,
3773 INTEL_LEGACY_64B_CONTEXT
3774};
3775
2355cf08
MK
3776enum {
3777 FAULT_AND_HANG = 0,
3778 FAULT_AND_HALT, /* Debug only */
3779 FAULT_AND_STREAM,
3780 FAULT_AND_CONTINUE /* Unsupported */
3781};
3782
3783#define GEN8_CTX_VALID (1<<0)
3784#define GEN8_CTX_FORCE_PD_RESTORE (1<<1)
3785#define GEN8_CTX_FORCE_RESTORE (1<<2)
3786#define GEN8_CTX_L3LLC_COHERENT (1<<5)
3787#define GEN8_CTX_PRIVILEGE (1<<8)
c01fc532 3788#define GEN8_CTX_ADDRESSING_MODE_SHIFT 3
c01fc532 3789
2355cf08
MK
3790#define GEN8_CTX_ID_SHIFT 32
3791#define GEN8_CTX_ID_WIDTH 21
ac52da6a
DCS
3792#define GEN11_SW_CTX_ID_SHIFT 37
3793#define GEN11_SW_CTX_ID_WIDTH 11
3794#define GEN11_ENGINE_CLASS_SHIFT 61
3795#define GEN11_ENGINE_CLASS_WIDTH 3
3796#define GEN11_ENGINE_INSTANCE_SHIFT 48
3797#define GEN11_ENGINE_INSTANCE_WIDTH 6
c01fc532 3798
f0f59a00
VS
3799#define CHV_CLK_CTL1 _MMIO(0x101100)
3800#define VLV_CLK_CTL2 _MMIO(0x101104)
e454a05d
JB
3801#define CLK_CTL2_CZCOUNT_30NS_SHIFT 28
3802
585fb111
JB
3803/*
3804 * Overlay regs
3805 */
3806
f0f59a00
VS
3807#define OVADD _MMIO(0x30000)
3808#define DOVSTA _MMIO(0x30008)
585fb111 3809#define OC_BUF (0x3<<20)
f0f59a00
VS
3810#define OGAMC5 _MMIO(0x30010)
3811#define OGAMC4 _MMIO(0x30014)
3812#define OGAMC3 _MMIO(0x30018)
3813#define OGAMC2 _MMIO(0x3001c)
3814#define OGAMC1 _MMIO(0x30020)
3815#define OGAMC0 _MMIO(0x30024)
585fb111 3816
d965e7ac
ID
3817/*
3818 * GEN9 clock gating regs
3819 */
3820#define GEN9_CLKGATE_DIS_0 _MMIO(0x46530)
df49ec82 3821#define DARBF_GATING_DIS (1 << 27)
d965e7ac
ID
3822#define PWM2_GATING_DIS (1 << 14)
3823#define PWM1_GATING_DIS (1 << 13)
3824
6481d5ed
VS
3825#define GEN9_CLKGATE_DIS_4 _MMIO(0x4653C)
3826#define BXT_GMBUS_GATING_DIS (1 << 14)
3827
ed69cd40
ID
3828#define _CLKGATE_DIS_PSL_A 0x46520
3829#define _CLKGATE_DIS_PSL_B 0x46524
3830#define _CLKGATE_DIS_PSL_C 0x46528
c4a4efa9
VS
3831#define DUPS1_GATING_DIS (1 << 15)
3832#define DUPS2_GATING_DIS (1 << 19)
3833#define DUPS3_GATING_DIS (1 << 23)
ed69cd40
ID
3834#define DPF_GATING_DIS (1 << 10)
3835#define DPF_RAM_GATING_DIS (1 << 9)
3836#define DPFR_GATING_DIS (1 << 8)
3837
3838#define CLKGATE_DIS_PSL(pipe) \
3839 _MMIO_PIPE(pipe, _CLKGATE_DIS_PSL_A, _CLKGATE_DIS_PSL_B)
3840
90007bca
RV
3841/*
3842 * GEN10 clock gating regs
3843 */
3844#define SLICE_UNIT_LEVEL_CLKGATE _MMIO(0x94d4)
3845#define SARBUNIT_CLKGATE_DIS (1 << 5)
0a60797a 3846#define RCCUNIT_CLKGATE_DIS (1 << 7)
0a437d49 3847#define MSCUNIT_CLKGATE_DIS (1 << 10)
90007bca 3848
a4713c5a
RV
3849#define SUBSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9524)
3850#define GWUNIT_CLKGATE_DIS (1 << 16)
3851
01ab0f92
RA
3852#define UNSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9434)
3853#define VFUNIT_CLKGATE_DIS (1 << 20)
3854
5ba700c7
OM
3855#define INF_UNIT_LEVEL_CLKGATE _MMIO(0x9560)
3856#define CGPSF_CLKGATE_DIS (1 << 3)
3857
585fb111
JB
3858/*
3859 * Display engine regs
3860 */
3861
8bf1e9f1 3862/* Pipe A CRC regs */
a57c774a 3863#define _PIPE_CRC_CTL_A 0x60050
8bf1e9f1 3864#define PIPE_CRC_ENABLE (1 << 31)
b4437a41 3865/* ivb+ source selection */
8bf1e9f1
SH
3866#define PIPE_CRC_SOURCE_PRIMARY_IVB (0 << 29)
3867#define PIPE_CRC_SOURCE_SPRITE_IVB (1 << 29)
3868#define PIPE_CRC_SOURCE_PF_IVB (2 << 29)
b4437a41 3869/* ilk+ source selection */
5a6b5c84
DV
3870#define PIPE_CRC_SOURCE_PRIMARY_ILK (0 << 28)
3871#define PIPE_CRC_SOURCE_SPRITE_ILK (1 << 28)
3872#define PIPE_CRC_SOURCE_PIPE_ILK (2 << 28)
3873/* embedded DP port on the north display block, reserved on ivb */
3874#define PIPE_CRC_SOURCE_PORT_A_ILK (4 << 28)
3875#define PIPE_CRC_SOURCE_FDI_ILK (5 << 28) /* reserved on ivb */
b4437a41
DV
3876/* vlv source selection */
3877#define PIPE_CRC_SOURCE_PIPE_VLV (0 << 27)
3878#define PIPE_CRC_SOURCE_HDMIB_VLV (1 << 27)
3879#define PIPE_CRC_SOURCE_HDMIC_VLV (2 << 27)
3880/* with DP port the pipe source is invalid */
3881#define PIPE_CRC_SOURCE_DP_D_VLV (3 << 27)
3882#define PIPE_CRC_SOURCE_DP_B_VLV (6 << 27)
3883#define PIPE_CRC_SOURCE_DP_C_VLV (7 << 27)
3884/* gen3+ source selection */
3885#define PIPE_CRC_SOURCE_PIPE_I9XX (0 << 28)
3886#define PIPE_CRC_SOURCE_SDVOB_I9XX (1 << 28)
3887#define PIPE_CRC_SOURCE_SDVOC_I9XX (2 << 28)
3888/* with DP/TV port the pipe source is invalid */
3889#define PIPE_CRC_SOURCE_DP_D_G4X (3 << 28)
3890#define PIPE_CRC_SOURCE_TV_PRE (4 << 28)
3891#define PIPE_CRC_SOURCE_TV_POST (5 << 28)
3892#define PIPE_CRC_SOURCE_DP_B_G4X (6 << 28)
3893#define PIPE_CRC_SOURCE_DP_C_G4X (7 << 28)
3894/* gen2 doesn't have source selection bits */
52f843f6 3895#define PIPE_CRC_INCLUDE_BORDER_I8XX (1 << 30)
b4437a41 3896
5a6b5c84
DV
3897#define _PIPE_CRC_RES_1_A_IVB 0x60064
3898#define _PIPE_CRC_RES_2_A_IVB 0x60068
3899#define _PIPE_CRC_RES_3_A_IVB 0x6006c
3900#define _PIPE_CRC_RES_4_A_IVB 0x60070
3901#define _PIPE_CRC_RES_5_A_IVB 0x60074
3902
a57c774a
AK
3903#define _PIPE_CRC_RES_RED_A 0x60060
3904#define _PIPE_CRC_RES_GREEN_A 0x60064
3905#define _PIPE_CRC_RES_BLUE_A 0x60068
3906#define _PIPE_CRC_RES_RES1_A_I915 0x6006c
3907#define _PIPE_CRC_RES_RES2_A_G4X 0x60080
8bf1e9f1
SH
3908
3909/* Pipe B CRC regs */
5a6b5c84
DV
3910#define _PIPE_CRC_RES_1_B_IVB 0x61064
3911#define _PIPE_CRC_RES_2_B_IVB 0x61068
3912#define _PIPE_CRC_RES_3_B_IVB 0x6106c
3913#define _PIPE_CRC_RES_4_B_IVB 0x61070
3914#define _PIPE_CRC_RES_5_B_IVB 0x61074
8bf1e9f1 3915
f0f59a00
VS
3916#define PIPE_CRC_CTL(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_CTL_A)
3917#define PIPE_CRC_RES_1_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_1_A_IVB)
3918#define PIPE_CRC_RES_2_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_2_A_IVB)
3919#define PIPE_CRC_RES_3_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_3_A_IVB)
3920#define PIPE_CRC_RES_4_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_4_A_IVB)
3921#define PIPE_CRC_RES_5_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_5_A_IVB)
3922
3923#define PIPE_CRC_RES_RED(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RED_A)
3924#define PIPE_CRC_RES_GREEN(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_GREEN_A)
3925#define PIPE_CRC_RES_BLUE(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_BLUE_A)
3926#define PIPE_CRC_RES_RES1_I915(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES1_A_I915)
3927#define PIPE_CRC_RES_RES2_G4X(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES2_A_G4X)
5a6b5c84 3928
585fb111 3929/* Pipe A timing regs */
a57c774a
AK
3930#define _HTOTAL_A 0x60000
3931#define _HBLANK_A 0x60004
3932#define _HSYNC_A 0x60008
3933#define _VTOTAL_A 0x6000c
3934#define _VBLANK_A 0x60010
3935#define _VSYNC_A 0x60014
3936#define _PIPEASRC 0x6001c
3937#define _BCLRPAT_A 0x60020
3938#define _VSYNCSHIFT_A 0x60028
ebb69c95 3939#define _PIPE_MULT_A 0x6002c
585fb111
JB
3940
3941/* Pipe B timing regs */
a57c774a
AK
3942#define _HTOTAL_B 0x61000
3943#define _HBLANK_B 0x61004
3944#define _HSYNC_B 0x61008
3945#define _VTOTAL_B 0x6100c
3946#define _VBLANK_B 0x61010
3947#define _VSYNC_B 0x61014
3948#define _PIPEBSRC 0x6101c
3949#define _BCLRPAT_B 0x61020
3950#define _VSYNCSHIFT_B 0x61028
ebb69c95 3951#define _PIPE_MULT_B 0x6102c
a57c774a
AK
3952
3953#define TRANSCODER_A_OFFSET 0x60000
3954#define TRANSCODER_B_OFFSET 0x61000
3955#define TRANSCODER_C_OFFSET 0x62000
84fd4f4e 3956#define CHV_TRANSCODER_C_OFFSET 0x63000
a57c774a
AK
3957#define TRANSCODER_EDP_OFFSET 0x6f000
3958
f0f59a00 3959#define _MMIO_TRANS2(pipe, reg) _MMIO(dev_priv->info.trans_offsets[(pipe)] - \
5c969aa7
DL
3960 dev_priv->info.trans_offsets[TRANSCODER_A] + (reg) + \
3961 dev_priv->info.display_mmio_offset)
a57c774a 3962
f0f59a00
VS
3963#define HTOTAL(trans) _MMIO_TRANS2(trans, _HTOTAL_A)
3964#define HBLANK(trans) _MMIO_TRANS2(trans, _HBLANK_A)
3965#define HSYNC(trans) _MMIO_TRANS2(trans, _HSYNC_A)
3966#define VTOTAL(trans) _MMIO_TRANS2(trans, _VTOTAL_A)
3967#define VBLANK(trans) _MMIO_TRANS2(trans, _VBLANK_A)
3968#define VSYNC(trans) _MMIO_TRANS2(trans, _VSYNC_A)
3969#define BCLRPAT(trans) _MMIO_TRANS2(trans, _BCLRPAT_A)
3970#define VSYNCSHIFT(trans) _MMIO_TRANS2(trans, _VSYNCSHIFT_A)
3971#define PIPESRC(trans) _MMIO_TRANS2(trans, _PIPEASRC)
3972#define PIPE_MULT(trans) _MMIO_TRANS2(trans, _PIPE_MULT_A)
5eddb70b 3973
c8f7df58
RV
3974/* VLV eDP PSR registers */
3975#define _PSRCTLA (VLV_DISPLAY_BASE + 0x60090)
3976#define _PSRCTLB (VLV_DISPLAY_BASE + 0x61090)
3977#define VLV_EDP_PSR_ENABLE (1<<0)
3978#define VLV_EDP_PSR_RESET (1<<1)
3979#define VLV_EDP_PSR_MODE_MASK (7<<2)
3980#define VLV_EDP_PSR_MODE_HW_TIMER (1<<3)
3981#define VLV_EDP_PSR_MODE_SW_TIMER (1<<2)
3982#define VLV_EDP_PSR_SINGLE_FRAME_UPDATE (1<<7)
3983#define VLV_EDP_PSR_ACTIVE_ENTRY (1<<8)
3984#define VLV_EDP_PSR_SRC_TRANSMITTER_STATE (1<<9)
3985#define VLV_EDP_PSR_DBL_FRAME (1<<10)
3986#define VLV_EDP_PSR_FRAME_COUNT_MASK (0xff<<16)
3987#define VLV_EDP_PSR_IDLE_FRAME_SHIFT 16
f0f59a00 3988#define VLV_PSRCTL(pipe) _MMIO_PIPE(pipe, _PSRCTLA, _PSRCTLB)
c8f7df58
RV
3989
3990#define _VSCSDPA (VLV_DISPLAY_BASE + 0x600a0)
3991#define _VSCSDPB (VLV_DISPLAY_BASE + 0x610a0)
3992#define VLV_EDP_PSR_SDP_FREQ_MASK (3<<30)
3993#define VLV_EDP_PSR_SDP_FREQ_ONCE (1<<31)
3994#define VLV_EDP_PSR_SDP_FREQ_EVFRAME (1<<30)
f0f59a00 3995#define VLV_VSCSDP(pipe) _MMIO_PIPE(pipe, _VSCSDPA, _VSCSDPB)
c8f7df58
RV
3996
3997#define _PSRSTATA (VLV_DISPLAY_BASE + 0x60094)
3998#define _PSRSTATB (VLV_DISPLAY_BASE + 0x61094)
3999#define VLV_EDP_PSR_LAST_STATE_MASK (7<<3)
4000#define VLV_EDP_PSR_CURR_STATE_MASK 7
4001#define VLV_EDP_PSR_DISABLED (0<<0)
4002#define VLV_EDP_PSR_INACTIVE (1<<0)
4003#define VLV_EDP_PSR_IN_TRANS_TO_ACTIVE (2<<0)
4004#define VLV_EDP_PSR_ACTIVE_NORFB_UP (3<<0)
4005#define VLV_EDP_PSR_ACTIVE_SF_UPDATE (4<<0)
4006#define VLV_EDP_PSR_EXIT (5<<0)
4007#define VLV_EDP_PSR_IN_TRANS (1<<7)
f0f59a00 4008#define VLV_PSRSTAT(pipe) _MMIO_PIPE(pipe, _PSRSTATA, _PSRSTATB)
c8f7df58 4009
ed8546ac 4010/* HSW+ eDP PSR registers */
443a389f
VS
4011#define HSW_EDP_PSR_BASE 0x64800
4012#define BDW_EDP_PSR_BASE 0x6f800
f0f59a00 4013#define EDP_PSR_CTL _MMIO(dev_priv->psr_mmio_base + 0)
2b28bb1b 4014#define EDP_PSR_ENABLE (1<<31)
82c56254 4015#define BDW_PSR_SINGLE_FRAME (1<<30)
912d6412 4016#define EDP_PSR_RESTORE_PSR_ACTIVE_CTX_MASK (1<<29) /* SW can't modify */
2b28bb1b
RV
4017#define EDP_PSR_LINK_STANDBY (1<<27)
4018#define EDP_PSR_MIN_LINK_ENTRY_TIME_MASK (3<<25)
4019#define EDP_PSR_MIN_LINK_ENTRY_TIME_8_LINES (0<<25)
4020#define EDP_PSR_MIN_LINK_ENTRY_TIME_4_LINES (1<<25)
4021#define EDP_PSR_MIN_LINK_ENTRY_TIME_2_LINES (2<<25)
4022#define EDP_PSR_MIN_LINK_ENTRY_TIME_0_LINES (3<<25)
4023#define EDP_PSR_MAX_SLEEP_TIME_SHIFT 20
4024#define EDP_PSR_SKIP_AUX_EXIT (1<<12)
4025#define EDP_PSR_TP1_TP2_SEL (0<<11)
4026#define EDP_PSR_TP1_TP3_SEL (1<<11)
4027#define EDP_PSR_TP2_TP3_TIME_500us (0<<8)
4028#define EDP_PSR_TP2_TP3_TIME_100us (1<<8)
4029#define EDP_PSR_TP2_TP3_TIME_2500us (2<<8)
4030#define EDP_PSR_TP2_TP3_TIME_0us (3<<8)
4031#define EDP_PSR_TP1_TIME_500us (0<<4)
4032#define EDP_PSR_TP1_TIME_100us (1<<4)
4033#define EDP_PSR_TP1_TIME_2500us (2<<4)
4034#define EDP_PSR_TP1_TIME_0us (3<<4)
4035#define EDP_PSR_IDLE_FRAME_SHIFT 0
4036
fc340442
DV
4037/* Bspec claims those aren't shifted but stay at 0x64800 */
4038#define EDP_PSR_IMR _MMIO(0x64834)
4039#define EDP_PSR_IIR _MMIO(0x64838)
e04f7ece
VS
4040#define EDP_PSR_ERROR(trans) (1 << (((trans) * 8 + 10) & 31))
4041#define EDP_PSR_POST_EXIT(trans) (1 << (((trans) * 8 + 9) & 31))
4042#define EDP_PSR_PRE_ENTRY(trans) (1 << (((trans) * 8 + 8) & 31))
fc340442 4043
f0f59a00 4044#define EDP_PSR_AUX_CTL _MMIO(dev_priv->psr_mmio_base + 0x10)
d544e918
DP
4045#define EDP_PSR_AUX_CTL_TIME_OUT_MASK (3 << 26)
4046#define EDP_PSR_AUX_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
4047#define EDP_PSR_AUX_CTL_PRECHARGE_2US_MASK (0xf << 16)
4048#define EDP_PSR_AUX_CTL_ERROR_INTERRUPT (1 << 11)
4049#define EDP_PSR_AUX_CTL_BIT_CLOCK_2X_MASK (0x7ff)
4050
f0f59a00 4051#define EDP_PSR_AUX_DATA(i) _MMIO(dev_priv->psr_mmio_base + 0x14 + (i) * 4) /* 5 registers */
2b28bb1b 4052
861023e0 4053#define EDP_PSR_STATUS _MMIO(dev_priv->psr_mmio_base + 0x40)
2b28bb1b 4054#define EDP_PSR_STATUS_STATE_MASK (7<<29)
e91fd8c6
RV
4055#define EDP_PSR_STATUS_STATE_IDLE (0<<29)
4056#define EDP_PSR_STATUS_STATE_SRDONACK (1<<29)
4057#define EDP_PSR_STATUS_STATE_SRDENT (2<<29)
4058#define EDP_PSR_STATUS_STATE_BUFOFF (3<<29)
4059#define EDP_PSR_STATUS_STATE_BUFON (4<<29)
4060#define EDP_PSR_STATUS_STATE_AUXACK (5<<29)
4061#define EDP_PSR_STATUS_STATE_SRDOFFACK (6<<29)
4062#define EDP_PSR_STATUS_LINK_MASK (3<<26)
4063#define EDP_PSR_STATUS_LINK_FULL_OFF (0<<26)
4064#define EDP_PSR_STATUS_LINK_FULL_ON (1<<26)
4065#define EDP_PSR_STATUS_LINK_STANDBY (2<<26)
4066#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_SHIFT 20
4067#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_MASK 0x1f
4068#define EDP_PSR_STATUS_COUNT_SHIFT 16
4069#define EDP_PSR_STATUS_COUNT_MASK 0xf
4070#define EDP_PSR_STATUS_AUX_ERROR (1<<15)
4071#define EDP_PSR_STATUS_AUX_SENDING (1<<12)
4072#define EDP_PSR_STATUS_SENDING_IDLE (1<<9)
4073#define EDP_PSR_STATUS_SENDING_TP2_TP3 (1<<8)
4074#define EDP_PSR_STATUS_SENDING_TP1 (1<<4)
4075#define EDP_PSR_STATUS_IDLE_MASK 0xf
4076
f0f59a00 4077#define EDP_PSR_PERF_CNT _MMIO(dev_priv->psr_mmio_base + 0x44)
e91fd8c6 4078#define EDP_PSR_PERF_CNT_MASK 0xffffff
2b28bb1b 4079
62801bf6 4080#define EDP_PSR_DEBUG _MMIO(dev_priv->psr_mmio_base + 0x60) /* PSR_MASK on SKL+ */
6433226b
NV
4081#define EDP_PSR_DEBUG_MASK_MAX_SLEEP (1<<28)
4082#define EDP_PSR_DEBUG_MASK_LPSP (1<<27)
4083#define EDP_PSR_DEBUG_MASK_MEMUP (1<<26)
4084#define EDP_PSR_DEBUG_MASK_HPD (1<<25)
4085#define EDP_PSR_DEBUG_MASK_DISP_REG_WRITE (1<<16)
62801bf6 4086#define EDP_PSR_DEBUG_EXIT_ON_PIXEL_UNDERRUN (1<<15) /* SKL+ */
2b28bb1b 4087
f0f59a00 4088#define EDP_PSR2_CTL _MMIO(0x6f900)
474d1ec4
SJ
4089#define EDP_PSR2_ENABLE (1<<31)
4090#define EDP_SU_TRACK_ENABLE (1<<30)
5e87325f
JRS
4091#define EDP_Y_COORDINATE_VALID (1<<26) /* GLK and CNL+ */
4092#define EDP_Y_COORDINATE_ENABLE (1<<25) /* GLK and CNL+ */
474d1ec4
SJ
4093#define EDP_MAX_SU_DISABLE_TIME(t) ((t)<<20)
4094#define EDP_MAX_SU_DISABLE_TIME_MASK (0x1f<<20)
77312ae8
VN
4095#define EDP_PSR2_TP2_TIME_500us (0<<8)
4096#define EDP_PSR2_TP2_TIME_100us (1<<8)
4097#define EDP_PSR2_TP2_TIME_2500us (2<<8)
4098#define EDP_PSR2_TP2_TIME_50us (3<<8)
474d1ec4
SJ
4099#define EDP_PSR2_TP2_TIME_MASK (3<<8)
4100#define EDP_PSR2_FRAME_BEFORE_SU_SHIFT 4
4101#define EDP_PSR2_FRAME_BEFORE_SU_MASK (0xf<<4)
977da084 4102#define EDP_PSR2_FRAME_BEFORE_SU(a) ((a)<<4)
fe36181b
JRS
4103#define EDP_PSR2_IDLE_FRAME_MASK 0xf
4104#define EDP_PSR2_IDLE_FRAME_SHIFT 0
474d1ec4 4105
bc18b4df
JRS
4106#define _PSR_EVENT_TRANS_A 0x60848
4107#define _PSR_EVENT_TRANS_B 0x61848
4108#define _PSR_EVENT_TRANS_C 0x62848
4109#define _PSR_EVENT_TRANS_D 0x63848
4110#define _PSR_EVENT_TRANS_EDP 0x6F848
4111#define PSR_EVENT(trans) _MMIO_TRANS2(trans, _PSR_EVENT_TRANS_A)
4112#define PSR_EVENT_PSR2_WD_TIMER_EXPIRE (1 << 17)
4113#define PSR_EVENT_PSR2_DISABLED (1 << 16)
4114#define PSR_EVENT_SU_DIRTY_FIFO_UNDERRUN (1 << 15)
4115#define PSR_EVENT_SU_CRC_FIFO_UNDERRUN (1 << 14)
4116#define PSR_EVENT_GRAPHICS_RESET (1 << 12)
4117#define PSR_EVENT_PCH_INTERRUPT (1 << 11)
4118#define PSR_EVENT_MEMORY_UP (1 << 10)
4119#define PSR_EVENT_FRONT_BUFFER_MODIFY (1 << 9)
4120#define PSR_EVENT_WD_TIMER_EXPIRE (1 << 8)
4121#define PSR_EVENT_PIPE_REGISTERS_UPDATE (1 << 6)
4122#define PSR_EVENT_REGISTER_UPDATE (1 << 5)
4123#define PSR_EVENT_HDCP_ENABLE (1 << 4)
4124#define PSR_EVENT_KVMR_SESSION_ENABLE (1 << 3)
4125#define PSR_EVENT_VBI_ENABLE (1 << 2)
4126#define PSR_EVENT_LPSP_MODE_EXIT (1 << 1)
4127#define PSR_EVENT_PSR_DISABLE (1 << 0)
4128
861023e0 4129#define EDP_PSR2_STATUS _MMIO(0x6f940)
3fcb0ca1 4130#define EDP_PSR2_STATUS_STATE_MASK (0xf<<28)
6ba1f9e1 4131#define EDP_PSR2_STATUS_STATE_SHIFT 28
474d1ec4 4132
585fb111 4133/* VGA port control */
f0f59a00
VS
4134#define ADPA _MMIO(0x61100)
4135#define PCH_ADPA _MMIO(0xe1100)
4136#define VLV_ADPA _MMIO(VLV_DISPLAY_BASE + 0x61100)
ebc0fd88 4137
585fb111
JB
4138#define ADPA_DAC_ENABLE (1<<31)
4139#define ADPA_DAC_DISABLE 0
6102a8ee
VS
4140#define ADPA_PIPE_SEL_SHIFT 30
4141#define ADPA_PIPE_SEL_MASK (1<<30)
4142#define ADPA_PIPE_SEL(pipe) ((pipe) << 30)
4143#define ADPA_PIPE_SEL_SHIFT_CPT 29
4144#define ADPA_PIPE_SEL_MASK_CPT (3<<29)
4145#define ADPA_PIPE_SEL_CPT(pipe) ((pipe) << 29)
ebc0fd88
DV
4146#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
4147#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0<<24)
4148#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3<<24)
4149#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
4150#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2<<24)
4151#define ADPA_CRT_HOTPLUG_ENABLE (1<<23)
4152#define ADPA_CRT_HOTPLUG_PERIOD_64 (0<<22)
4153#define ADPA_CRT_HOTPLUG_PERIOD_128 (1<<22)
4154#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0<<21)
4155#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1<<21)
4156#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0<<20)
4157#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1<<20)
4158#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0<<18)
4159#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1<<18)
4160#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2<<18)
4161#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3<<18)
4162#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0<<17)
4163#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1<<17)
4164#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
585fb111
JB
4165#define ADPA_USE_VGA_HVPOLARITY (1<<15)
4166#define ADPA_SETS_HVPOLARITY 0
60222c0c 4167#define ADPA_VSYNC_CNTL_DISABLE (1<<10)
585fb111 4168#define ADPA_VSYNC_CNTL_ENABLE 0
60222c0c 4169#define ADPA_HSYNC_CNTL_DISABLE (1<<11)
585fb111
JB
4170#define ADPA_HSYNC_CNTL_ENABLE 0
4171#define ADPA_VSYNC_ACTIVE_HIGH (1<<4)
4172#define ADPA_VSYNC_ACTIVE_LOW 0
4173#define ADPA_HSYNC_ACTIVE_HIGH (1<<3)
4174#define ADPA_HSYNC_ACTIVE_LOW 0
4175#define ADPA_DPMS_MASK (~(3<<10))
4176#define ADPA_DPMS_ON (0<<10)
4177#define ADPA_DPMS_SUSPEND (1<<10)
4178#define ADPA_DPMS_STANDBY (2<<10)
4179#define ADPA_DPMS_OFF (3<<10)
4180
939fe4d7 4181
585fb111 4182/* Hotplug control (945+ only) */
f0f59a00 4183#define PORT_HOTPLUG_EN _MMIO(dev_priv->info.display_mmio_offset + 0x61110)
26739f12
DV
4184#define PORTB_HOTPLUG_INT_EN (1 << 29)
4185#define PORTC_HOTPLUG_INT_EN (1 << 28)
4186#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
4187#define SDVOB_HOTPLUG_INT_EN (1 << 26)
4188#define SDVOC_HOTPLUG_INT_EN (1 << 25)
4189#define TV_HOTPLUG_INT_EN (1 << 18)
4190#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
4191#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
4192 PORTC_HOTPLUG_INT_EN | \
4193 PORTD_HOTPLUG_INT_EN | \
4194 SDVOC_HOTPLUG_INT_EN | \
4195 SDVOB_HOTPLUG_INT_EN | \
4196 CRT_HOTPLUG_INT_EN)
585fb111 4197#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
4198#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
4199/* must use period 64 on GM45 according to docs */
4200#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
4201#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
4202#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
4203#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
4204#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
4205#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
4206#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
4207#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
4208#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
4209#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
4210#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
4211#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 4212
f0f59a00 4213#define PORT_HOTPLUG_STAT _MMIO(dev_priv->info.display_mmio_offset + 0x61114)
0ce99f74 4214/*
0780cd36 4215 * HDMI/DP bits are g4x+
0ce99f74
DV
4216 *
4217 * WARNING: Bspec for hpd status bits on gen4 seems to be completely confused.
4218 * Please check the detailed lore in the commit message for for experimental
4219 * evidence.
4220 */
0780cd36
VS
4221/* Bspec says GM45 should match G4X/VLV/CHV, but reality disagrees */
4222#define PORTD_HOTPLUG_LIVE_STATUS_GM45 (1 << 29)
4223#define PORTC_HOTPLUG_LIVE_STATUS_GM45 (1 << 28)
4224#define PORTB_HOTPLUG_LIVE_STATUS_GM45 (1 << 27)
4225/* G4X/VLV/CHV DP/HDMI bits again match Bspec */
4226#define PORTD_HOTPLUG_LIVE_STATUS_G4X (1 << 27)
232a6ee9 4227#define PORTC_HOTPLUG_LIVE_STATUS_G4X (1 << 28)
0780cd36 4228#define PORTB_HOTPLUG_LIVE_STATUS_G4X (1 << 29)
26739f12 4229#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
a211b497
DV
4230#define PORTD_HOTPLUG_INT_LONG_PULSE (2 << 21)
4231#define PORTD_HOTPLUG_INT_SHORT_PULSE (1 << 21)
26739f12 4232#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
a211b497
DV
4233#define PORTC_HOTPLUG_INT_LONG_PULSE (2 << 19)
4234#define PORTC_HOTPLUG_INT_SHORT_PULSE (1 << 19)
26739f12 4235#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
a211b497
DV
4236#define PORTB_HOTPLUG_INT_LONG_PULSE (2 << 17)
4237#define PORTB_HOTPLUG_INT_SHORT_PLUSE (1 << 17)
084b612e 4238/* CRT/TV common between gen3+ */
585fb111
JB
4239#define CRT_HOTPLUG_INT_STATUS (1 << 11)
4240#define TV_HOTPLUG_INT_STATUS (1 << 10)
4241#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
4242#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
4243#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
4244#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
4aeebd74
DV
4245#define DP_AUX_CHANNEL_D_INT_STATUS_G4X (1 << 6)
4246#define DP_AUX_CHANNEL_C_INT_STATUS_G4X (1 << 5)
4247#define DP_AUX_CHANNEL_B_INT_STATUS_G4X (1 << 4)
bfbdb420
ID
4248#define DP_AUX_CHANNEL_MASK_INT_STATUS_G4X (7 << 4)
4249
084b612e
CW
4250/* SDVO is different across gen3/4 */
4251#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
4252#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
4f7fd709
DV
4253/*
4254 * Bspec seems to be seriously misleaded about the SDVO hpd bits on i965g/gm,
4255 * since reality corrobates that they're the same as on gen3. But keep these
4256 * bits here (and the comment!) to help any other lost wanderers back onto the
4257 * right tracks.
4258 */
084b612e
CW
4259#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
4260#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
4261#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
4262#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
4263#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
4264 SDVOB_HOTPLUG_INT_STATUS_G4X | \
4265 SDVOC_HOTPLUG_INT_STATUS_G4X | \
4266 PORTB_HOTPLUG_INT_STATUS | \
4267 PORTC_HOTPLUG_INT_STATUS | \
4268 PORTD_HOTPLUG_INT_STATUS)
e5868a31
EE
4269
4270#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
4271 SDVOB_HOTPLUG_INT_STATUS_I915 | \
4272 SDVOC_HOTPLUG_INT_STATUS_I915 | \
4273 PORTB_HOTPLUG_INT_STATUS | \
4274 PORTC_HOTPLUG_INT_STATUS | \
4275 PORTD_HOTPLUG_INT_STATUS)
585fb111 4276
c20cd312
PZ
4277/* SDVO and HDMI port control.
4278 * The same register may be used for SDVO or HDMI */
f0f59a00
VS
4279#define _GEN3_SDVOB 0x61140
4280#define _GEN3_SDVOC 0x61160
4281#define GEN3_SDVOB _MMIO(_GEN3_SDVOB)
4282#define GEN3_SDVOC _MMIO(_GEN3_SDVOC)
c20cd312
PZ
4283#define GEN4_HDMIB GEN3_SDVOB
4284#define GEN4_HDMIC GEN3_SDVOC
f0f59a00
VS
4285#define VLV_HDMIB _MMIO(VLV_DISPLAY_BASE + 0x61140)
4286#define VLV_HDMIC _MMIO(VLV_DISPLAY_BASE + 0x61160)
4287#define CHV_HDMID _MMIO(VLV_DISPLAY_BASE + 0x6116C)
4288#define PCH_SDVOB _MMIO(0xe1140)
c20cd312 4289#define PCH_HDMIB PCH_SDVOB
f0f59a00
VS
4290#define PCH_HDMIC _MMIO(0xe1150)
4291#define PCH_HDMID _MMIO(0xe1160)
c20cd312 4292
f0f59a00 4293#define PORT_DFT_I9XX _MMIO(0x61150)
84093603 4294#define DC_BALANCE_RESET (1 << 25)
f0f59a00 4295#define PORT_DFT2_G4X _MMIO(dev_priv->info.display_mmio_offset + 0x61154)
84093603 4296#define DC_BALANCE_RESET_VLV (1 << 31)
eb736679
VS
4297#define PIPE_SCRAMBLE_RESET_MASK ((1 << 14) | (0x3 << 0))
4298#define PIPE_C_SCRAMBLE_RESET (1 << 14) /* chv */
84093603
DV
4299#define PIPE_B_SCRAMBLE_RESET (1 << 1)
4300#define PIPE_A_SCRAMBLE_RESET (1 << 0)
4301
c20cd312
PZ
4302/* Gen 3 SDVO bits: */
4303#define SDVO_ENABLE (1 << 31)
76203467 4304#define SDVO_PIPE_SEL_SHIFT 30
dc0fa718 4305#define SDVO_PIPE_SEL_MASK (1 << 30)
76203467 4306#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
c20cd312
PZ
4307#define SDVO_STALL_SELECT (1 << 29)
4308#define SDVO_INTERRUPT_ENABLE (1 << 26)
646b4269 4309/*
585fb111 4310 * 915G/GM SDVO pixel multiplier.
585fb111 4311 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
4312 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
4313 */
c20cd312 4314#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 4315#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
4316#define SDVO_PHASE_SELECT_MASK (15 << 19)
4317#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
4318#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
4319#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
4320#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
4321#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
4322#define SDVO_DETECTED (1 << 2)
585fb111 4323/* Bits to be preserved when writing */
c20cd312
PZ
4324#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
4325 SDVO_INTERRUPT_ENABLE)
4326#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
4327
4328/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 4329#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
18442d08 4330#define SDVO_COLOR_FORMAT_MASK (7 << 26)
c20cd312
PZ
4331#define SDVO_ENCODING_SDVO (0 << 10)
4332#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
4333#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
4334#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 4335#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
4336#define SDVO_AUDIO_ENABLE (1 << 6)
4337/* VSYNC/HSYNC bits new with 965, default is to be set */
4338#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
4339#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
4340
4341/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 4342#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
4343#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
4344
4345/* Gen 6 (CPT) SDVO/HDMI bits: */
76203467 4346#define SDVO_PIPE_SEL_SHIFT_CPT 29
dc0fa718 4347#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
76203467 4348#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
c20cd312 4349
44f37d1f 4350/* CHV SDVO/HDMI bits: */
76203467 4351#define SDVO_PIPE_SEL_SHIFT_CHV 24
44f37d1f 4352#define SDVO_PIPE_SEL_MASK_CHV (3 << 24)
76203467 4353#define SDVO_PIPE_SEL_CHV(pipe) ((pipe) << 24)
44f37d1f 4354
585fb111
JB
4355
4356/* DVO port control */
f0f59a00
VS
4357#define _DVOA 0x61120
4358#define DVOA _MMIO(_DVOA)
4359#define _DVOB 0x61140
4360#define DVOB _MMIO(_DVOB)
4361#define _DVOC 0x61160
4362#define DVOC _MMIO(_DVOC)
585fb111 4363#define DVO_ENABLE (1 << 31)
b45a2588
VS
4364#define DVO_PIPE_SEL_SHIFT 30
4365#define DVO_PIPE_SEL_MASK (1 << 30)
4366#define DVO_PIPE_SEL(pipe) ((pipe) << 30)
585fb111
JB
4367#define DVO_PIPE_STALL_UNUSED (0 << 28)
4368#define DVO_PIPE_STALL (1 << 28)
4369#define DVO_PIPE_STALL_TV (2 << 28)
4370#define DVO_PIPE_STALL_MASK (3 << 28)
4371#define DVO_USE_VGA_SYNC (1 << 15)
4372#define DVO_DATA_ORDER_I740 (0 << 14)
4373#define DVO_DATA_ORDER_FP (1 << 14)
4374#define DVO_VSYNC_DISABLE (1 << 11)
4375#define DVO_HSYNC_DISABLE (1 << 10)
4376#define DVO_VSYNC_TRISTATE (1 << 9)
4377#define DVO_HSYNC_TRISTATE (1 << 8)
4378#define DVO_BORDER_ENABLE (1 << 7)
4379#define DVO_DATA_ORDER_GBRG (1 << 6)
4380#define DVO_DATA_ORDER_RGGB (0 << 6)
4381#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
4382#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
4383#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
4384#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
4385#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
4386#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
4387#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
4388#define DVO_PRESERVE_MASK (0x7<<24)
f0f59a00
VS
4389#define DVOA_SRCDIM _MMIO(0x61124)
4390#define DVOB_SRCDIM _MMIO(0x61144)
4391#define DVOC_SRCDIM _MMIO(0x61164)
585fb111
JB
4392#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
4393#define DVO_SRCDIM_VERTICAL_SHIFT 0
4394
4395/* LVDS port control */
f0f59a00 4396#define LVDS _MMIO(0x61180)
585fb111
JB
4397/*
4398 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
4399 * the DPLL semantics change when the LVDS is assigned to that pipe.
4400 */
4401#define LVDS_PORT_EN (1 << 31)
4402/* Selects pipe B for LVDS data. Must be set on pre-965. */
a44628b9
VS
4403#define LVDS_PIPE_SEL_SHIFT 30
4404#define LVDS_PIPE_SEL_MASK (1 << 30)
4405#define LVDS_PIPE_SEL(pipe) ((pipe) << 30)
4406#define LVDS_PIPE_SEL_SHIFT_CPT 29
4407#define LVDS_PIPE_SEL_MASK_CPT (3 << 29)
4408#define LVDS_PIPE_SEL_CPT(pipe) ((pipe) << 29)
898822ce
ZY
4409/* LVDS dithering flag on 965/g4x platform */
4410#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
4411/* LVDS sync polarity flags. Set to invert (i.e. negative) */
4412#define LVDS_VSYNC_POLARITY (1 << 21)
4413#define LVDS_HSYNC_POLARITY (1 << 20)
4414
a3e17eb8
ZY
4415/* Enable border for unscaled (or aspect-scaled) display */
4416#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
4417/*
4418 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
4419 * pixel.
4420 */
4421#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
4422#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
4423#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
4424/*
4425 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
4426 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
4427 * on.
4428 */
4429#define LVDS_A3_POWER_MASK (3 << 6)
4430#define LVDS_A3_POWER_DOWN (0 << 6)
4431#define LVDS_A3_POWER_UP (3 << 6)
4432/*
4433 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
4434 * is set.
4435 */
4436#define LVDS_CLKB_POWER_MASK (3 << 4)
4437#define LVDS_CLKB_POWER_DOWN (0 << 4)
4438#define LVDS_CLKB_POWER_UP (3 << 4)
4439/*
4440 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
4441 * setting for whether we are in dual-channel mode. The B3 pair will
4442 * additionally only be powered up when LVDS_A3_POWER_UP is set.
4443 */
4444#define LVDS_B0B3_POWER_MASK (3 << 2)
4445#define LVDS_B0B3_POWER_DOWN (0 << 2)
4446#define LVDS_B0B3_POWER_UP (3 << 2)
4447
3c17fe4b 4448/* Video Data Island Packet control */
f0f59a00 4449#define VIDEO_DIP_DATA _MMIO(0x61178)
fd0753cf 4450/* Read the description of VIDEO_DIP_DATA (before Haswell) or VIDEO_DIP_ECC
adf00b26
PZ
4451 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
4452 * of the infoframe structure specified by CEA-861. */
4453#define VIDEO_DIP_DATA_SIZE 32
2b28bb1b 4454#define VIDEO_DIP_VSC_DATA_SIZE 36
f0f59a00 4455#define VIDEO_DIP_CTL _MMIO(0x61170)
2da8af54 4456/* Pre HSW: */
3c17fe4b 4457#define VIDEO_DIP_ENABLE (1 << 31)
822cdc52 4458#define VIDEO_DIP_PORT(port) ((port) << 29)
3e6e6395 4459#define VIDEO_DIP_PORT_MASK (3 << 29)
0dd87d20 4460#define VIDEO_DIP_ENABLE_GCP (1 << 25)
3c17fe4b
DH
4461#define VIDEO_DIP_ENABLE_AVI (1 << 21)
4462#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
0dd87d20 4463#define VIDEO_DIP_ENABLE_GAMUT (4 << 21)
3c17fe4b
DH
4464#define VIDEO_DIP_ENABLE_SPD (8 << 21)
4465#define VIDEO_DIP_SELECT_AVI (0 << 19)
4466#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
4467#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 4468#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
4469#define VIDEO_DIP_FREQ_ONCE (0 << 16)
4470#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
4471#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 4472#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 4473/* HSW and later: */
0dd87d20
PZ
4474#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
4475#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 4476#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
4477#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
4478#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 4479#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 4480
585fb111 4481/* Panel power sequencing */
44cb734c
ID
4482#define PPS_BASE 0x61200
4483#define VLV_PPS_BASE (VLV_DISPLAY_BASE + PPS_BASE)
4484#define PCH_PPS_BASE 0xC7200
4485
4486#define _MMIO_PPS(pps_idx, reg) _MMIO(dev_priv->pps_mmio_base - \
4487 PPS_BASE + (reg) + \
4488 (pps_idx) * 0x100)
4489
4490#define _PP_STATUS 0x61200
4491#define PP_STATUS(pps_idx) _MMIO_PPS(pps_idx, _PP_STATUS)
4492#define PP_ON (1 << 31)
585fb111
JB
4493/*
4494 * Indicates that all dependencies of the panel are on:
4495 *
4496 * - PLL enabled
4497 * - pipe enabled
4498 * - LVDS/DVOB/DVOC on
4499 */
44cb734c
ID
4500#define PP_READY (1 << 30)
4501#define PP_SEQUENCE_NONE (0 << 28)
4502#define PP_SEQUENCE_POWER_UP (1 << 28)
4503#define PP_SEQUENCE_POWER_DOWN (2 << 28)
4504#define PP_SEQUENCE_MASK (3 << 28)
4505#define PP_SEQUENCE_SHIFT 28
4506#define PP_CYCLE_DELAY_ACTIVE (1 << 27)
4507#define PP_SEQUENCE_STATE_MASK 0x0000000f
99ea7127
KP
4508#define PP_SEQUENCE_STATE_OFF_IDLE (0x0 << 0)
4509#define PP_SEQUENCE_STATE_OFF_S0_1 (0x1 << 0)
4510#define PP_SEQUENCE_STATE_OFF_S0_2 (0x2 << 0)
4511#define PP_SEQUENCE_STATE_OFF_S0_3 (0x3 << 0)
4512#define PP_SEQUENCE_STATE_ON_IDLE (0x8 << 0)
4513#define PP_SEQUENCE_STATE_ON_S1_0 (0x9 << 0)
4514#define PP_SEQUENCE_STATE_ON_S1_2 (0xa << 0)
4515#define PP_SEQUENCE_STATE_ON_S1_3 (0xb << 0)
4516#define PP_SEQUENCE_STATE_RESET (0xf << 0)
44cb734c
ID
4517
4518#define _PP_CONTROL 0x61204
4519#define PP_CONTROL(pps_idx) _MMIO_PPS(pps_idx, _PP_CONTROL)
4520#define PANEL_UNLOCK_REGS (0xabcd << 16)
4521#define PANEL_UNLOCK_MASK (0xffff << 16)
4522#define BXT_POWER_CYCLE_DELAY_MASK 0x1f0
4523#define BXT_POWER_CYCLE_DELAY_SHIFT 4
4524#define EDP_FORCE_VDD (1 << 3)
4525#define EDP_BLC_ENABLE (1 << 2)
4526#define PANEL_POWER_RESET (1 << 1)
4527#define PANEL_POWER_OFF (0 << 0)
4528#define PANEL_POWER_ON (1 << 0)
44cb734c
ID
4529
4530#define _PP_ON_DELAYS 0x61208
4531#define PP_ON_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_ON_DELAYS)
ed6143b8 4532#define PANEL_PORT_SELECT_SHIFT 30
44cb734c
ID
4533#define PANEL_PORT_SELECT_MASK (3 << 30)
4534#define PANEL_PORT_SELECT_LVDS (0 << 30)
4535#define PANEL_PORT_SELECT_DPA (1 << 30)
4536#define PANEL_PORT_SELECT_DPC (2 << 30)
4537#define PANEL_PORT_SELECT_DPD (3 << 30)
4538#define PANEL_PORT_SELECT_VLV(port) ((port) << 30)
4539#define PANEL_POWER_UP_DELAY_MASK 0x1fff0000
4540#define PANEL_POWER_UP_DELAY_SHIFT 16
4541#define PANEL_LIGHT_ON_DELAY_MASK 0x1fff
4542#define PANEL_LIGHT_ON_DELAY_SHIFT 0
4543
4544#define _PP_OFF_DELAYS 0x6120C
4545#define PP_OFF_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_OFF_DELAYS)
4546#define PANEL_POWER_DOWN_DELAY_MASK 0x1fff0000
4547#define PANEL_POWER_DOWN_DELAY_SHIFT 16
4548#define PANEL_LIGHT_OFF_DELAY_MASK 0x1fff
4549#define PANEL_LIGHT_OFF_DELAY_SHIFT 0
4550
4551#define _PP_DIVISOR 0x61210
4552#define PP_DIVISOR(pps_idx) _MMIO_PPS(pps_idx, _PP_DIVISOR)
4553#define PP_REFERENCE_DIVIDER_MASK 0xffffff00
4554#define PP_REFERENCE_DIVIDER_SHIFT 8
4555#define PANEL_POWER_CYCLE_DELAY_MASK 0x1f
4556#define PANEL_POWER_CYCLE_DELAY_SHIFT 0
585fb111
JB
4557
4558/* Panel fitting */
f0f59a00 4559#define PFIT_CONTROL _MMIO(dev_priv->info.display_mmio_offset + 0x61230)
585fb111
JB
4560#define PFIT_ENABLE (1 << 31)
4561#define PFIT_PIPE_MASK (3 << 29)
4562#define PFIT_PIPE_SHIFT 29
4563#define VERT_INTERP_DISABLE (0 << 10)
4564#define VERT_INTERP_BILINEAR (1 << 10)
4565#define VERT_INTERP_MASK (3 << 10)
4566#define VERT_AUTO_SCALE (1 << 9)
4567#define HORIZ_INTERP_DISABLE (0 << 6)
4568#define HORIZ_INTERP_BILINEAR (1 << 6)
4569#define HORIZ_INTERP_MASK (3 << 6)
4570#define HORIZ_AUTO_SCALE (1 << 5)
4571#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
4572#define PFIT_FILTER_FUZZY (0 << 24)
4573#define PFIT_SCALING_AUTO (0 << 26)
4574#define PFIT_SCALING_PROGRAMMED (1 << 26)
4575#define PFIT_SCALING_PILLAR (2 << 26)
4576#define PFIT_SCALING_LETTER (3 << 26)
f0f59a00 4577#define PFIT_PGM_RATIOS _MMIO(dev_priv->info.display_mmio_offset + 0x61234)
3fbe18d6
ZY
4578/* Pre-965 */
4579#define PFIT_VERT_SCALE_SHIFT 20
4580#define PFIT_VERT_SCALE_MASK 0xfff00000
4581#define PFIT_HORIZ_SCALE_SHIFT 4
4582#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
4583/* 965+ */
4584#define PFIT_VERT_SCALE_SHIFT_965 16
4585#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
4586#define PFIT_HORIZ_SCALE_SHIFT_965 0
4587#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
4588
f0f59a00 4589#define PFIT_AUTO_RATIOS _MMIO(dev_priv->info.display_mmio_offset + 0x61238)
585fb111 4590
5c969aa7
DL
4591#define _VLV_BLC_PWM_CTL2_A (dev_priv->info.display_mmio_offset + 0x61250)
4592#define _VLV_BLC_PWM_CTL2_B (dev_priv->info.display_mmio_offset + 0x61350)
f0f59a00
VS
4593#define VLV_BLC_PWM_CTL2(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL2_A, \
4594 _VLV_BLC_PWM_CTL2_B)
07bf139b 4595
5c969aa7
DL
4596#define _VLV_BLC_PWM_CTL_A (dev_priv->info.display_mmio_offset + 0x61254)
4597#define _VLV_BLC_PWM_CTL_B (dev_priv->info.display_mmio_offset + 0x61354)
f0f59a00
VS
4598#define VLV_BLC_PWM_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL_A, \
4599 _VLV_BLC_PWM_CTL_B)
07bf139b 4600
5c969aa7
DL
4601#define _VLV_BLC_HIST_CTL_A (dev_priv->info.display_mmio_offset + 0x61260)
4602#define _VLV_BLC_HIST_CTL_B (dev_priv->info.display_mmio_offset + 0x61360)
f0f59a00
VS
4603#define VLV_BLC_HIST_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_HIST_CTL_A, \
4604 _VLV_BLC_HIST_CTL_B)
07bf139b 4605
585fb111 4606/* Backlight control */
f0f59a00 4607#define BLC_PWM_CTL2 _MMIO(dev_priv->info.display_mmio_offset + 0x61250) /* 965+ only */
7cf41601
DV
4608#define BLM_PWM_ENABLE (1 << 31)
4609#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
4610#define BLM_PIPE_SELECT (1 << 29)
4611#define BLM_PIPE_SELECT_IVB (3 << 29)
4612#define BLM_PIPE_A (0 << 29)
4613#define BLM_PIPE_B (1 << 29)
4614#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
4615#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
4616#define BLM_TRANSCODER_B BLM_PIPE_B
4617#define BLM_TRANSCODER_C BLM_PIPE_C
4618#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
4619#define BLM_PIPE(pipe) ((pipe) << 29)
4620#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
4621#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
4622#define BLM_PHASE_IN_ENABLE (1 << 25)
4623#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
4624#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
4625#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
4626#define BLM_PHASE_IN_COUNT_SHIFT (8)
4627#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
4628#define BLM_PHASE_IN_INCR_SHIFT (0)
4629#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
f0f59a00 4630#define BLC_PWM_CTL _MMIO(dev_priv->info.display_mmio_offset + 0x61254)
ba3820ad
TI
4631/*
4632 * This is the most significant 15 bits of the number of backlight cycles in a
4633 * complete cycle of the modulated backlight control.
4634 *
4635 * The actual value is this field multiplied by two.
4636 */
7cf41601
DV
4637#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
4638#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
4639#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
4640/*
4641 * This is the number of cycles out of the backlight modulation cycle for which
4642 * the backlight is on.
4643 *
4644 * This field must be no greater than the number of cycles in the complete
4645 * backlight modulation cycle.
4646 */
4647#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
4648#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
4649#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
4650#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 4651
f0f59a00 4652#define BLC_HIST_CTL _MMIO(dev_priv->info.display_mmio_offset + 0x61260)
2059ac3b 4653#define BLM_HISTOGRAM_ENABLE (1 << 31)
0eb96d6e 4654
7cf41601
DV
4655/* New registers for PCH-split platforms. Safe where new bits show up, the
4656 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
f0f59a00
VS
4657#define BLC_PWM_CPU_CTL2 _MMIO(0x48250)
4658#define BLC_PWM_CPU_CTL _MMIO(0x48254)
7cf41601 4659
f0f59a00 4660#define HSW_BLC_PWM2_CTL _MMIO(0x48350)
be256dc7 4661
7cf41601
DV
4662/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
4663 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
f0f59a00 4664#define BLC_PWM_PCH_CTL1 _MMIO(0xc8250)
4b4147c3 4665#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
4666#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
4667#define BLM_PCH_POLARITY (1 << 29)
f0f59a00 4668#define BLC_PWM_PCH_CTL2 _MMIO(0xc8254)
7cf41601 4669
f0f59a00 4670#define UTIL_PIN_CTL _MMIO(0x48400)
be256dc7
PZ
4671#define UTIL_PIN_ENABLE (1 << 31)
4672
022e4e52
SK
4673#define UTIL_PIN_PIPE(x) ((x) << 29)
4674#define UTIL_PIN_PIPE_MASK (3 << 29)
4675#define UTIL_PIN_MODE_PWM (1 << 24)
4676#define UTIL_PIN_MODE_MASK (0xf << 24)
4677#define UTIL_PIN_POLARITY (1 << 22)
4678
0fb890c0 4679/* BXT backlight register definition. */
022e4e52 4680#define _BXT_BLC_PWM_CTL1 0xC8250
0fb890c0
VK
4681#define BXT_BLC_PWM_ENABLE (1 << 31)
4682#define BXT_BLC_PWM_POLARITY (1 << 29)
022e4e52
SK
4683#define _BXT_BLC_PWM_FREQ1 0xC8254
4684#define _BXT_BLC_PWM_DUTY1 0xC8258
4685
4686#define _BXT_BLC_PWM_CTL2 0xC8350
4687#define _BXT_BLC_PWM_FREQ2 0xC8354
4688#define _BXT_BLC_PWM_DUTY2 0xC8358
4689
f0f59a00 4690#define BXT_BLC_PWM_CTL(controller) _MMIO_PIPE(controller, \
022e4e52 4691 _BXT_BLC_PWM_CTL1, _BXT_BLC_PWM_CTL2)
f0f59a00 4692#define BXT_BLC_PWM_FREQ(controller) _MMIO_PIPE(controller, \
022e4e52 4693 _BXT_BLC_PWM_FREQ1, _BXT_BLC_PWM_FREQ2)
f0f59a00 4694#define BXT_BLC_PWM_DUTY(controller) _MMIO_PIPE(controller, \
022e4e52 4695 _BXT_BLC_PWM_DUTY1, _BXT_BLC_PWM_DUTY2)
0fb890c0 4696
f0f59a00 4697#define PCH_GTC_CTL _MMIO(0xe7000)
be256dc7
PZ
4698#define PCH_GTC_ENABLE (1 << 31)
4699
585fb111 4700/* TV port control */
f0f59a00 4701#define TV_CTL _MMIO(0x68000)
646b4269 4702/* Enables the TV encoder */
585fb111 4703# define TV_ENC_ENABLE (1 << 31)
646b4269 4704/* Sources the TV encoder input from pipe B instead of A. */
4add0f6b
VS
4705# define TV_ENC_PIPE_SEL_SHIFT 30
4706# define TV_ENC_PIPE_SEL_MASK (1 << 30)
4707# define TV_ENC_PIPE_SEL(pipe) ((pipe) << 30)
646b4269 4708/* Outputs composite video (DAC A only) */
585fb111 4709# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
646b4269 4710/* Outputs SVideo video (DAC B/C) */
585fb111 4711# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
646b4269 4712/* Outputs Component video (DAC A/B/C) */
585fb111 4713# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
646b4269 4714/* Outputs Composite and SVideo (DAC A/B/C) */
585fb111
JB
4715# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
4716# define TV_TRILEVEL_SYNC (1 << 21)
646b4269 4717/* Enables slow sync generation (945GM only) */
585fb111 4718# define TV_SLOW_SYNC (1 << 20)
646b4269 4719/* Selects 4x oversampling for 480i and 576p */
585fb111 4720# define TV_OVERSAMPLE_4X (0 << 18)
646b4269 4721/* Selects 2x oversampling for 720p and 1080i */
585fb111 4722# define TV_OVERSAMPLE_2X (1 << 18)
646b4269 4723/* Selects no oversampling for 1080p */
585fb111 4724# define TV_OVERSAMPLE_NONE (2 << 18)
646b4269 4725/* Selects 8x oversampling */
585fb111 4726# define TV_OVERSAMPLE_8X (3 << 18)
646b4269 4727/* Selects progressive mode rather than interlaced */
585fb111 4728# define TV_PROGRESSIVE (1 << 17)
646b4269 4729/* Sets the colorburst to PAL mode. Required for non-M PAL modes. */
585fb111 4730# define TV_PAL_BURST (1 << 16)
646b4269 4731/* Field for setting delay of Y compared to C */
585fb111 4732# define TV_YC_SKEW_MASK (7 << 12)
646b4269 4733/* Enables a fix for 480p/576p standard definition modes on the 915GM only */
585fb111 4734# define TV_ENC_SDP_FIX (1 << 11)
646b4269 4735/*
585fb111
JB
4736 * Enables a fix for the 915GM only.
4737 *
4738 * Not sure what it does.
4739 */
4740# define TV_ENC_C0_FIX (1 << 10)
646b4269 4741/* Bits that must be preserved by software */
d2d9f232 4742# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111 4743# define TV_FUSE_STATE_MASK (3 << 4)
646b4269 4744/* Read-only state that reports all features enabled */
585fb111 4745# define TV_FUSE_STATE_ENABLED (0 << 4)
646b4269 4746/* Read-only state that reports that Macrovision is disabled in hardware*/
585fb111 4747# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
646b4269 4748/* Read-only state that reports that TV-out is disabled in hardware. */
585fb111 4749# define TV_FUSE_STATE_DISABLED (2 << 4)
646b4269 4750/* Normal operation */
585fb111 4751# define TV_TEST_MODE_NORMAL (0 << 0)
646b4269 4752/* Encoder test pattern 1 - combo pattern */
585fb111 4753# define TV_TEST_MODE_PATTERN_1 (1 << 0)
646b4269 4754/* Encoder test pattern 2 - full screen vertical 75% color bars */
585fb111 4755# define TV_TEST_MODE_PATTERN_2 (2 << 0)
646b4269 4756/* Encoder test pattern 3 - full screen horizontal 75% color bars */
585fb111 4757# define TV_TEST_MODE_PATTERN_3 (3 << 0)
646b4269 4758/* Encoder test pattern 4 - random noise */
585fb111 4759# define TV_TEST_MODE_PATTERN_4 (4 << 0)
646b4269 4760/* Encoder test pattern 5 - linear color ramps */
585fb111 4761# define TV_TEST_MODE_PATTERN_5 (5 << 0)
646b4269 4762/*
585fb111
JB
4763 * This test mode forces the DACs to 50% of full output.
4764 *
4765 * This is used for load detection in combination with TVDAC_SENSE_MASK
4766 */
4767# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
4768# define TV_TEST_MODE_MASK (7 << 0)
4769
f0f59a00 4770#define TV_DAC _MMIO(0x68004)
b8ed2a4f 4771# define TV_DAC_SAVE 0x00ffff00
646b4269 4772/*
585fb111
JB
4773 * Reports that DAC state change logic has reported change (RO).
4774 *
4775 * This gets cleared when TV_DAC_STATE_EN is cleared
4776*/
4777# define TVDAC_STATE_CHG (1 << 31)
4778# define TVDAC_SENSE_MASK (7 << 28)
646b4269 4779/* Reports that DAC A voltage is above the detect threshold */
585fb111 4780# define TVDAC_A_SENSE (1 << 30)
646b4269 4781/* Reports that DAC B voltage is above the detect threshold */
585fb111 4782# define TVDAC_B_SENSE (1 << 29)
646b4269 4783/* Reports that DAC C voltage is above the detect threshold */
585fb111 4784# define TVDAC_C_SENSE (1 << 28)
646b4269 4785/*
585fb111
JB
4786 * Enables DAC state detection logic, for load-based TV detection.
4787 *
4788 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
4789 * to off, for load detection to work.
4790 */
4791# define TVDAC_STATE_CHG_EN (1 << 27)
646b4269 4792/* Sets the DAC A sense value to high */
585fb111 4793# define TVDAC_A_SENSE_CTL (1 << 26)
646b4269 4794/* Sets the DAC B sense value to high */
585fb111 4795# define TVDAC_B_SENSE_CTL (1 << 25)
646b4269 4796/* Sets the DAC C sense value to high */
585fb111 4797# define TVDAC_C_SENSE_CTL (1 << 24)
646b4269 4798/* Overrides the ENC_ENABLE and DAC voltage levels */
585fb111 4799# define DAC_CTL_OVERRIDE (1 << 7)
646b4269 4800/* Sets the slew rate. Must be preserved in software */
585fb111
JB
4801# define ENC_TVDAC_SLEW_FAST (1 << 6)
4802# define DAC_A_1_3_V (0 << 4)
4803# define DAC_A_1_1_V (1 << 4)
4804# define DAC_A_0_7_V (2 << 4)
cb66c692 4805# define DAC_A_MASK (3 << 4)
585fb111
JB
4806# define DAC_B_1_3_V (0 << 2)
4807# define DAC_B_1_1_V (1 << 2)
4808# define DAC_B_0_7_V (2 << 2)
cb66c692 4809# define DAC_B_MASK (3 << 2)
585fb111
JB
4810# define DAC_C_1_3_V (0 << 0)
4811# define DAC_C_1_1_V (1 << 0)
4812# define DAC_C_0_7_V (2 << 0)
cb66c692 4813# define DAC_C_MASK (3 << 0)
585fb111 4814
646b4269 4815/*
585fb111
JB
4816 * CSC coefficients are stored in a floating point format with 9 bits of
4817 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
4818 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
4819 * -1 (0x3) being the only legal negative value.
4820 */
f0f59a00 4821#define TV_CSC_Y _MMIO(0x68010)
585fb111
JB
4822# define TV_RY_MASK 0x07ff0000
4823# define TV_RY_SHIFT 16
4824# define TV_GY_MASK 0x00000fff
4825# define TV_GY_SHIFT 0
4826
f0f59a00 4827#define TV_CSC_Y2 _MMIO(0x68014)
585fb111
JB
4828# define TV_BY_MASK 0x07ff0000
4829# define TV_BY_SHIFT 16
646b4269 4830/*
585fb111
JB
4831 * Y attenuation for component video.
4832 *
4833 * Stored in 1.9 fixed point.
4834 */
4835# define TV_AY_MASK 0x000003ff
4836# define TV_AY_SHIFT 0
4837
f0f59a00 4838#define TV_CSC_U _MMIO(0x68018)
585fb111
JB
4839# define TV_RU_MASK 0x07ff0000
4840# define TV_RU_SHIFT 16
4841# define TV_GU_MASK 0x000007ff
4842# define TV_GU_SHIFT 0
4843
f0f59a00 4844#define TV_CSC_U2 _MMIO(0x6801c)
585fb111
JB
4845# define TV_BU_MASK 0x07ff0000
4846# define TV_BU_SHIFT 16
646b4269 4847/*
585fb111
JB
4848 * U attenuation for component video.
4849 *
4850 * Stored in 1.9 fixed point.
4851 */
4852# define TV_AU_MASK 0x000003ff
4853# define TV_AU_SHIFT 0
4854
f0f59a00 4855#define TV_CSC_V _MMIO(0x68020)
585fb111
JB
4856# define TV_RV_MASK 0x0fff0000
4857# define TV_RV_SHIFT 16
4858# define TV_GV_MASK 0x000007ff
4859# define TV_GV_SHIFT 0
4860
f0f59a00 4861#define TV_CSC_V2 _MMIO(0x68024)
585fb111
JB
4862# define TV_BV_MASK 0x07ff0000
4863# define TV_BV_SHIFT 16
646b4269 4864/*
585fb111
JB
4865 * V attenuation for component video.
4866 *
4867 * Stored in 1.9 fixed point.
4868 */
4869# define TV_AV_MASK 0x000007ff
4870# define TV_AV_SHIFT 0
4871
f0f59a00 4872#define TV_CLR_KNOBS _MMIO(0x68028)
646b4269 4873/* 2s-complement brightness adjustment */
585fb111
JB
4874# define TV_BRIGHTNESS_MASK 0xff000000
4875# define TV_BRIGHTNESS_SHIFT 24
646b4269 4876/* Contrast adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
4877# define TV_CONTRAST_MASK 0x00ff0000
4878# define TV_CONTRAST_SHIFT 16
646b4269 4879/* Saturation adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
4880# define TV_SATURATION_MASK 0x0000ff00
4881# define TV_SATURATION_SHIFT 8
646b4269 4882/* Hue adjustment, as an integer phase angle in degrees */
585fb111
JB
4883# define TV_HUE_MASK 0x000000ff
4884# define TV_HUE_SHIFT 0
4885
f0f59a00 4886#define TV_CLR_LEVEL _MMIO(0x6802c)
646b4269 4887/* Controls the DAC level for black */
585fb111
JB
4888# define TV_BLACK_LEVEL_MASK 0x01ff0000
4889# define TV_BLACK_LEVEL_SHIFT 16
646b4269 4890/* Controls the DAC level for blanking */
585fb111
JB
4891# define TV_BLANK_LEVEL_MASK 0x000001ff
4892# define TV_BLANK_LEVEL_SHIFT 0
4893
f0f59a00 4894#define TV_H_CTL_1 _MMIO(0x68030)
646b4269 4895/* Number of pixels in the hsync. */
585fb111
JB
4896# define TV_HSYNC_END_MASK 0x1fff0000
4897# define TV_HSYNC_END_SHIFT 16
646b4269 4898/* Total number of pixels minus one in the line (display and blanking). */
585fb111
JB
4899# define TV_HTOTAL_MASK 0x00001fff
4900# define TV_HTOTAL_SHIFT 0
4901
f0f59a00 4902#define TV_H_CTL_2 _MMIO(0x68034)
646b4269 4903/* Enables the colorburst (needed for non-component color) */
585fb111 4904# define TV_BURST_ENA (1 << 31)
646b4269 4905/* Offset of the colorburst from the start of hsync, in pixels minus one. */
585fb111
JB
4906# define TV_HBURST_START_SHIFT 16
4907# define TV_HBURST_START_MASK 0x1fff0000
646b4269 4908/* Length of the colorburst */
585fb111
JB
4909# define TV_HBURST_LEN_SHIFT 0
4910# define TV_HBURST_LEN_MASK 0x0001fff
4911
f0f59a00 4912#define TV_H_CTL_3 _MMIO(0x68038)
646b4269 4913/* End of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
4914# define TV_HBLANK_END_SHIFT 16
4915# define TV_HBLANK_END_MASK 0x1fff0000
646b4269 4916/* Start of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
4917# define TV_HBLANK_START_SHIFT 0
4918# define TV_HBLANK_START_MASK 0x0001fff
4919
f0f59a00 4920#define TV_V_CTL_1 _MMIO(0x6803c)
646b4269 4921/* XXX */
585fb111
JB
4922# define TV_NBR_END_SHIFT 16
4923# define TV_NBR_END_MASK 0x07ff0000
646b4269 4924/* XXX */
585fb111
JB
4925# define TV_VI_END_F1_SHIFT 8
4926# define TV_VI_END_F1_MASK 0x00003f00
646b4269 4927/* XXX */
585fb111
JB
4928# define TV_VI_END_F2_SHIFT 0
4929# define TV_VI_END_F2_MASK 0x0000003f
4930
f0f59a00 4931#define TV_V_CTL_2 _MMIO(0x68040)
646b4269 4932/* Length of vsync, in half lines */
585fb111
JB
4933# define TV_VSYNC_LEN_MASK 0x07ff0000
4934# define TV_VSYNC_LEN_SHIFT 16
646b4269 4935/* Offset of the start of vsync in field 1, measured in one less than the
585fb111
JB
4936 * number of half lines.
4937 */
4938# define TV_VSYNC_START_F1_MASK 0x00007f00
4939# define TV_VSYNC_START_F1_SHIFT 8
646b4269 4940/*
585fb111
JB
4941 * Offset of the start of vsync in field 2, measured in one less than the
4942 * number of half lines.
4943 */
4944# define TV_VSYNC_START_F2_MASK 0x0000007f
4945# define TV_VSYNC_START_F2_SHIFT 0
4946
f0f59a00 4947#define TV_V_CTL_3 _MMIO(0x68044)
646b4269 4948/* Enables generation of the equalization signal */
585fb111 4949# define TV_EQUAL_ENA (1 << 31)
646b4269 4950/* Length of vsync, in half lines */
585fb111
JB
4951# define TV_VEQ_LEN_MASK 0x007f0000
4952# define TV_VEQ_LEN_SHIFT 16
646b4269 4953/* Offset of the start of equalization in field 1, measured in one less than
585fb111
JB
4954 * the number of half lines.
4955 */
4956# define TV_VEQ_START_F1_MASK 0x0007f00
4957# define TV_VEQ_START_F1_SHIFT 8
646b4269 4958/*
585fb111
JB
4959 * Offset of the start of equalization in field 2, measured in one less than
4960 * the number of half lines.
4961 */
4962# define TV_VEQ_START_F2_MASK 0x000007f
4963# define TV_VEQ_START_F2_SHIFT 0
4964
f0f59a00 4965#define TV_V_CTL_4 _MMIO(0x68048)
646b4269 4966/*
585fb111
JB
4967 * Offset to start of vertical colorburst, measured in one less than the
4968 * number of lines from vertical start.
4969 */
4970# define TV_VBURST_START_F1_MASK 0x003f0000
4971# define TV_VBURST_START_F1_SHIFT 16
646b4269 4972/*
585fb111
JB
4973 * Offset to the end of vertical colorburst, measured in one less than the
4974 * number of lines from the start of NBR.
4975 */
4976# define TV_VBURST_END_F1_MASK 0x000000ff
4977# define TV_VBURST_END_F1_SHIFT 0
4978
f0f59a00 4979#define TV_V_CTL_5 _MMIO(0x6804c)
646b4269 4980/*
585fb111
JB
4981 * Offset to start of vertical colorburst, measured in one less than the
4982 * number of lines from vertical start.
4983 */
4984# define TV_VBURST_START_F2_MASK 0x003f0000
4985# define TV_VBURST_START_F2_SHIFT 16
646b4269 4986/*
585fb111
JB
4987 * Offset to the end of vertical colorburst, measured in one less than the
4988 * number of lines from the start of NBR.
4989 */
4990# define TV_VBURST_END_F2_MASK 0x000000ff
4991# define TV_VBURST_END_F2_SHIFT 0
4992
f0f59a00 4993#define TV_V_CTL_6 _MMIO(0x68050)
646b4269 4994/*
585fb111
JB
4995 * Offset to start of vertical colorburst, measured in one less than the
4996 * number of lines from vertical start.
4997 */
4998# define TV_VBURST_START_F3_MASK 0x003f0000
4999# define TV_VBURST_START_F3_SHIFT 16
646b4269 5000/*
585fb111
JB
5001 * Offset to the end of vertical colorburst, measured in one less than the
5002 * number of lines from the start of NBR.
5003 */
5004# define TV_VBURST_END_F3_MASK 0x000000ff
5005# define TV_VBURST_END_F3_SHIFT 0
5006
f0f59a00 5007#define TV_V_CTL_7 _MMIO(0x68054)
646b4269 5008/*
585fb111
JB
5009 * Offset to start of vertical colorburst, measured in one less than the
5010 * number of lines from vertical start.
5011 */
5012# define TV_VBURST_START_F4_MASK 0x003f0000
5013# define TV_VBURST_START_F4_SHIFT 16
646b4269 5014/*
585fb111
JB
5015 * Offset to the end of vertical colorburst, measured in one less than the
5016 * number of lines from the start of NBR.
5017 */
5018# define TV_VBURST_END_F4_MASK 0x000000ff
5019# define TV_VBURST_END_F4_SHIFT 0
5020
f0f59a00 5021#define TV_SC_CTL_1 _MMIO(0x68060)
646b4269 5022/* Turns on the first subcarrier phase generation DDA */
585fb111 5023# define TV_SC_DDA1_EN (1 << 31)
646b4269 5024/* Turns on the first subcarrier phase generation DDA */
585fb111 5025# define TV_SC_DDA2_EN (1 << 30)
646b4269 5026/* Turns on the first subcarrier phase generation DDA */
585fb111 5027# define TV_SC_DDA3_EN (1 << 29)
646b4269 5028/* Sets the subcarrier DDA to reset frequency every other field */
585fb111 5029# define TV_SC_RESET_EVERY_2 (0 << 24)
646b4269 5030/* Sets the subcarrier DDA to reset frequency every fourth field */
585fb111 5031# define TV_SC_RESET_EVERY_4 (1 << 24)
646b4269 5032/* Sets the subcarrier DDA to reset frequency every eighth field */
585fb111 5033# define TV_SC_RESET_EVERY_8 (2 << 24)
646b4269 5034/* Sets the subcarrier DDA to never reset the frequency */
585fb111 5035# define TV_SC_RESET_NEVER (3 << 24)
646b4269 5036/* Sets the peak amplitude of the colorburst.*/
585fb111
JB
5037# define TV_BURST_LEVEL_MASK 0x00ff0000
5038# define TV_BURST_LEVEL_SHIFT 16
646b4269 5039/* Sets the increment of the first subcarrier phase generation DDA */
585fb111
JB
5040# define TV_SCDDA1_INC_MASK 0x00000fff
5041# define TV_SCDDA1_INC_SHIFT 0
5042
f0f59a00 5043#define TV_SC_CTL_2 _MMIO(0x68064)
646b4269 5044/* Sets the rollover for the second subcarrier phase generation DDA */
585fb111
JB
5045# define TV_SCDDA2_SIZE_MASK 0x7fff0000
5046# define TV_SCDDA2_SIZE_SHIFT 16
646b4269 5047/* Sets the increent of the second subcarrier phase generation DDA */
585fb111
JB
5048# define TV_SCDDA2_INC_MASK 0x00007fff
5049# define TV_SCDDA2_INC_SHIFT 0
5050
f0f59a00 5051#define TV_SC_CTL_3 _MMIO(0x68068)
646b4269 5052/* Sets the rollover for the third subcarrier phase generation DDA */
585fb111
JB
5053# define TV_SCDDA3_SIZE_MASK 0x7fff0000
5054# define TV_SCDDA3_SIZE_SHIFT 16
646b4269 5055/* Sets the increent of the third subcarrier phase generation DDA */
585fb111
JB
5056# define TV_SCDDA3_INC_MASK 0x00007fff
5057# define TV_SCDDA3_INC_SHIFT 0
5058
f0f59a00 5059#define TV_WIN_POS _MMIO(0x68070)
646b4269 5060/* X coordinate of the display from the start of horizontal active */
585fb111
JB
5061# define TV_XPOS_MASK 0x1fff0000
5062# define TV_XPOS_SHIFT 16
646b4269 5063/* Y coordinate of the display from the start of vertical active (NBR) */
585fb111
JB
5064# define TV_YPOS_MASK 0x00000fff
5065# define TV_YPOS_SHIFT 0
5066
f0f59a00 5067#define TV_WIN_SIZE _MMIO(0x68074)
646b4269 5068/* Horizontal size of the display window, measured in pixels*/
585fb111
JB
5069# define TV_XSIZE_MASK 0x1fff0000
5070# define TV_XSIZE_SHIFT 16
646b4269 5071/*
585fb111
JB
5072 * Vertical size of the display window, measured in pixels.
5073 *
5074 * Must be even for interlaced modes.
5075 */
5076# define TV_YSIZE_MASK 0x00000fff
5077# define TV_YSIZE_SHIFT 0
5078
f0f59a00 5079#define TV_FILTER_CTL_1 _MMIO(0x68080)
646b4269 5080/*
585fb111
JB
5081 * Enables automatic scaling calculation.
5082 *
5083 * If set, the rest of the registers are ignored, and the calculated values can
5084 * be read back from the register.
5085 */
5086# define TV_AUTO_SCALE (1 << 31)
646b4269 5087/*
585fb111
JB
5088 * Disables the vertical filter.
5089 *
5090 * This is required on modes more than 1024 pixels wide */
5091# define TV_V_FILTER_BYPASS (1 << 29)
646b4269 5092/* Enables adaptive vertical filtering */
585fb111
JB
5093# define TV_VADAPT (1 << 28)
5094# define TV_VADAPT_MODE_MASK (3 << 26)
646b4269 5095/* Selects the least adaptive vertical filtering mode */
585fb111 5096# define TV_VADAPT_MODE_LEAST (0 << 26)
646b4269 5097/* Selects the moderately adaptive vertical filtering mode */
585fb111 5098# define TV_VADAPT_MODE_MODERATE (1 << 26)
646b4269 5099/* Selects the most adaptive vertical filtering mode */
585fb111 5100# define TV_VADAPT_MODE_MOST (3 << 26)
646b4269 5101/*
585fb111
JB
5102 * Sets the horizontal scaling factor.
5103 *
5104 * This should be the fractional part of the horizontal scaling factor divided
5105 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
5106 *
5107 * (src width - 1) / ((oversample * dest width) - 1)
5108 */
5109# define TV_HSCALE_FRAC_MASK 0x00003fff
5110# define TV_HSCALE_FRAC_SHIFT 0
5111
f0f59a00 5112#define TV_FILTER_CTL_2 _MMIO(0x68084)
646b4269 5113/*
585fb111
JB
5114 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5115 *
5116 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
5117 */
5118# define TV_VSCALE_INT_MASK 0x00038000
5119# define TV_VSCALE_INT_SHIFT 15
646b4269 5120/*
585fb111
JB
5121 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5122 *
5123 * \sa TV_VSCALE_INT_MASK
5124 */
5125# define TV_VSCALE_FRAC_MASK 0x00007fff
5126# define TV_VSCALE_FRAC_SHIFT 0
5127
f0f59a00 5128#define TV_FILTER_CTL_3 _MMIO(0x68088)
646b4269 5129/*
585fb111
JB
5130 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5131 *
5132 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
5133 *
5134 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5135 */
5136# define TV_VSCALE_IP_INT_MASK 0x00038000
5137# define TV_VSCALE_IP_INT_SHIFT 15
646b4269 5138/*
585fb111
JB
5139 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5140 *
5141 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5142 *
5143 * \sa TV_VSCALE_IP_INT_MASK
5144 */
5145# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
5146# define TV_VSCALE_IP_FRAC_SHIFT 0
5147
f0f59a00 5148#define TV_CC_CONTROL _MMIO(0x68090)
585fb111 5149# define TV_CC_ENABLE (1 << 31)
646b4269 5150/*
585fb111
JB
5151 * Specifies which field to send the CC data in.
5152 *
5153 * CC data is usually sent in field 0.
5154 */
5155# define TV_CC_FID_MASK (1 << 27)
5156# define TV_CC_FID_SHIFT 27
646b4269 5157/* Sets the horizontal position of the CC data. Usually 135. */
585fb111
JB
5158# define TV_CC_HOFF_MASK 0x03ff0000
5159# define TV_CC_HOFF_SHIFT 16
646b4269 5160/* Sets the vertical position of the CC data. Usually 21 */
585fb111
JB
5161# define TV_CC_LINE_MASK 0x0000003f
5162# define TV_CC_LINE_SHIFT 0
5163
f0f59a00 5164#define TV_CC_DATA _MMIO(0x68094)
585fb111 5165# define TV_CC_RDY (1 << 31)
646b4269 5166/* Second word of CC data to be transmitted. */
585fb111
JB
5167# define TV_CC_DATA_2_MASK 0x007f0000
5168# define TV_CC_DATA_2_SHIFT 16
646b4269 5169/* First word of CC data to be transmitted. */
585fb111
JB
5170# define TV_CC_DATA_1_MASK 0x0000007f
5171# define TV_CC_DATA_1_SHIFT 0
5172
f0f59a00
VS
5173#define TV_H_LUMA(i) _MMIO(0x68100 + (i) * 4) /* 60 registers */
5174#define TV_H_CHROMA(i) _MMIO(0x68200 + (i) * 4) /* 60 registers */
5175#define TV_V_LUMA(i) _MMIO(0x68300 + (i) * 4) /* 43 registers */
5176#define TV_V_CHROMA(i) _MMIO(0x68400 + (i) * 4) /* 43 registers */
585fb111 5177
040d87f1 5178/* Display Port */
f0f59a00
VS
5179#define DP_A _MMIO(0x64000) /* eDP */
5180#define DP_B _MMIO(0x64100)
5181#define DP_C _MMIO(0x64200)
5182#define DP_D _MMIO(0x64300)
040d87f1 5183
f0f59a00
VS
5184#define VLV_DP_B _MMIO(VLV_DISPLAY_BASE + 0x64100)
5185#define VLV_DP_C _MMIO(VLV_DISPLAY_BASE + 0x64200)
5186#define CHV_DP_D _MMIO(VLV_DISPLAY_BASE + 0x64300)
e66eb81d 5187
040d87f1 5188#define DP_PORT_EN (1 << 31)
59b74c49
VS
5189#define DP_PIPE_SEL_SHIFT 30
5190#define DP_PIPE_SEL_MASK (1 << 30)
5191#define DP_PIPE_SEL(pipe) ((pipe) << 30)
5192#define DP_PIPE_SEL_SHIFT_IVB 29
5193#define DP_PIPE_SEL_MASK_IVB (3 << 29)
5194#define DP_PIPE_SEL_IVB(pipe) ((pipe) << 29)
5195#define DP_PIPE_SEL_SHIFT_CHV 16
5196#define DP_PIPE_SEL_MASK_CHV (3 << 16)
5197#define DP_PIPE_SEL_CHV(pipe) ((pipe) << 16)
47a05eca 5198
040d87f1
KP
5199/* Link training mode - select a suitable mode for each stage */
5200#define DP_LINK_TRAIN_PAT_1 (0 << 28)
5201#define DP_LINK_TRAIN_PAT_2 (1 << 28)
5202#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
5203#define DP_LINK_TRAIN_OFF (3 << 28)
5204#define DP_LINK_TRAIN_MASK (3 << 28)
5205#define DP_LINK_TRAIN_SHIFT 28
5206
8db9d77b
ZW
5207/* CPT Link training mode */
5208#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
5209#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
5210#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
5211#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
5212#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
5213#define DP_LINK_TRAIN_SHIFT_CPT 8
5214
040d87f1
KP
5215/* Signal voltages. These are mostly controlled by the other end */
5216#define DP_VOLTAGE_0_4 (0 << 25)
5217#define DP_VOLTAGE_0_6 (1 << 25)
5218#define DP_VOLTAGE_0_8 (2 << 25)
5219#define DP_VOLTAGE_1_2 (3 << 25)
5220#define DP_VOLTAGE_MASK (7 << 25)
5221#define DP_VOLTAGE_SHIFT 25
5222
5223/* Signal pre-emphasis levels, like voltages, the other end tells us what
5224 * they want
5225 */
5226#define DP_PRE_EMPHASIS_0 (0 << 22)
5227#define DP_PRE_EMPHASIS_3_5 (1 << 22)
5228#define DP_PRE_EMPHASIS_6 (2 << 22)
5229#define DP_PRE_EMPHASIS_9_5 (3 << 22)
5230#define DP_PRE_EMPHASIS_MASK (7 << 22)
5231#define DP_PRE_EMPHASIS_SHIFT 22
5232
5233/* How many wires to use. I guess 3 was too hard */
17aa6be9 5234#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1 5235#define DP_PORT_WIDTH_MASK (7 << 19)
90a6b7b0 5236#define DP_PORT_WIDTH_SHIFT 19
040d87f1
KP
5237
5238/* Mystic DPCD version 1.1 special mode */
5239#define DP_ENHANCED_FRAMING (1 << 18)
5240
32f9d658
ZW
5241/* eDP */
5242#define DP_PLL_FREQ_270MHZ (0 << 16)
b377e0df 5243#define DP_PLL_FREQ_162MHZ (1 << 16)
32f9d658
ZW
5244#define DP_PLL_FREQ_MASK (3 << 16)
5245
646b4269 5246/* locked once port is enabled */
040d87f1
KP
5247#define DP_PORT_REVERSAL (1 << 15)
5248
32f9d658
ZW
5249/* eDP */
5250#define DP_PLL_ENABLE (1 << 14)
5251
646b4269 5252/* sends the clock on lane 15 of the PEG for debug */
040d87f1
KP
5253#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
5254
5255#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 5256#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1 5257
646b4269 5258/* limit RGB values to avoid confusing TVs */
040d87f1
KP
5259#define DP_COLOR_RANGE_16_235 (1 << 8)
5260
646b4269 5261/* Turn on the audio link */
040d87f1
KP
5262#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
5263
646b4269 5264/* vs and hs sync polarity */
040d87f1
KP
5265#define DP_SYNC_VS_HIGH (1 << 4)
5266#define DP_SYNC_HS_HIGH (1 << 3)
5267
646b4269 5268/* A fantasy */
040d87f1
KP
5269#define DP_DETECTED (1 << 2)
5270
646b4269 5271/* The aux channel provides a way to talk to the
040d87f1
KP
5272 * signal sink for DDC etc. Max packet size supported
5273 * is 20 bytes in each direction, hence the 5 fixed
5274 * data registers
5275 */
da00bdcf
VS
5276#define _DPA_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64010)
5277#define _DPA_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64014)
5278#define _DPA_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64018)
5279#define _DPA_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6401c)
5280#define _DPA_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64020)
5281#define _DPA_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64024)
5282
5283#define _DPB_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64110)
5284#define _DPB_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64114)
5285#define _DPB_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64118)
5286#define _DPB_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6411c)
5287#define _DPB_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64120)
5288#define _DPB_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64124)
5289
5290#define _DPC_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64210)
5291#define _DPC_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64214)
5292#define _DPC_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64218)
5293#define _DPC_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6421c)
5294#define _DPC_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64220)
5295#define _DPC_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64224)
5296
5297#define _DPD_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64310)
5298#define _DPD_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64314)
5299#define _DPD_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64318)
5300#define _DPD_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6431c)
5301#define _DPD_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64320)
5302#define _DPD_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64324)
750a951f 5303
a324fcac
RV
5304#define _DPF_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64510)
5305#define _DPF_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64514)
5306#define _DPF_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64518)
5307#define _DPF_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6451c)
5308#define _DPF_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64520)
5309#define _DPF_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64524)
5310
bdabdb63
VS
5311#define DP_AUX_CH_CTL(aux_ch) _MMIO_PORT(aux_ch, _DPA_AUX_CH_CTL, _DPB_AUX_CH_CTL)
5312#define DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT(aux_ch, _DPA_AUX_CH_DATA1, _DPB_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
040d87f1
KP
5313
5314#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
5315#define DP_AUX_CH_CTL_DONE (1 << 30)
5316#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
5317#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
5318#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
5319#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
5320#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
6fa228ba 5321#define DP_AUX_CH_CTL_TIME_OUT_MAX (3 << 26) /* Varies per platform */
040d87f1
KP
5322#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
5323#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
5324#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
5325#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
5326#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
5327#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
5328#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
5329#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
5330#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
5331#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
5332#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
5333#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
5334#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
e3d99845
SJ
5335#define DP_AUX_CH_CTL_PSR_DATA_AUX_REG_SKL (1 << 14)
5336#define DP_AUX_CH_CTL_FS_DATA_AUX_REG_SKL (1 << 13)
5337#define DP_AUX_CH_CTL_GTC_DATA_AUX_REG_SKL (1 << 12)
395b2913 5338#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL_MASK (0x1f << 5)
e3d99845 5339#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL(c) (((c) - 1) << 5)
b9ca5fad 5340#define DP_AUX_CH_CTL_SYNC_PULSE_SKL(c) ((c) - 1)
040d87f1
KP
5341
5342/*
5343 * Computing GMCH M and N values for the Display Port link
5344 *
5345 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
5346 *
5347 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
5348 *
5349 * The GMCH value is used internally
5350 *
5351 * bytes_per_pixel is the number of bytes coming out of the plane,
5352 * which is after the LUTs, so we want the bytes for our color format.
5353 * For our current usage, this is always 3, one byte for R, G and B.
5354 */
e3b95f1e
DV
5355#define _PIPEA_DATA_M_G4X 0x70050
5356#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
5357
5358/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
a65851af 5359#define TU_SIZE(x) (((x)-1) << 25) /* default size 64 */
72419203 5360#define TU_SIZE_SHIFT 25
a65851af 5361#define TU_SIZE_MASK (0x3f << 25)
040d87f1 5362
a65851af
VS
5363#define DATA_LINK_M_N_MASK (0xffffff)
5364#define DATA_LINK_N_MAX (0x800000)
040d87f1 5365
e3b95f1e
DV
5366#define _PIPEA_DATA_N_G4X 0x70054
5367#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
5368#define PIPE_GMCH_DATA_N_MASK (0xffffff)
5369
5370/*
5371 * Computing Link M and N values for the Display Port link
5372 *
5373 * Link M / N = pixel_clock / ls_clk
5374 *
5375 * (the DP spec calls pixel_clock the 'strm_clk')
5376 *
5377 * The Link value is transmitted in the Main Stream
5378 * Attributes and VB-ID.
5379 */
5380
e3b95f1e
DV
5381#define _PIPEA_LINK_M_G4X 0x70060
5382#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
5383#define PIPEA_DP_LINK_M_MASK (0xffffff)
5384
e3b95f1e
DV
5385#define _PIPEA_LINK_N_G4X 0x70064
5386#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
5387#define PIPEA_DP_LINK_N_MASK (0xffffff)
5388
f0f59a00
VS
5389#define PIPE_DATA_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
5390#define PIPE_DATA_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
5391#define PIPE_LINK_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
5392#define PIPE_LINK_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 5393
585fb111
JB
5394/* Display & cursor control */
5395
5396/* Pipe A */
a57c774a 5397#define _PIPEADSL 0x70000
837ba00f
PZ
5398#define DSL_LINEMASK_GEN2 0x00000fff
5399#define DSL_LINEMASK_GEN3 0x00001fff
a57c774a 5400#define _PIPEACONF 0x70008
5eddb70b
CW
5401#define PIPECONF_ENABLE (1<<31)
5402#define PIPECONF_DISABLE 0
5403#define PIPECONF_DOUBLE_WIDE (1<<30)
585fb111 5404#define I965_PIPECONF_ACTIVE (1<<30)
b6ec10b3 5405#define PIPECONF_DSI_PLL_LOCKED (1<<29) /* vlv & pipe A only */
f47166d2 5406#define PIPECONF_FRAME_START_DELAY_MASK (3<<27)
5eddb70b
CW
5407#define PIPECONF_SINGLE_WIDE 0
5408#define PIPECONF_PIPE_UNLOCKED 0
5409#define PIPECONF_PIPE_LOCKED (1<<25)
5410#define PIPECONF_PALETTE 0
5411#define PIPECONF_GAMMA (1<<24)
585fb111 5412#define PIPECONF_FORCE_BORDER (1<<25)
59df7b17 5413#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 5414#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
5415/* Note that pre-gen3 does not support interlaced display directly. Panel
5416 * fitting must be disabled on pre-ilk for interlaced. */
5417#define PIPECONF_PROGRESSIVE (0 << 21)
5418#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
5419#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
5420#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
5421#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
5422/* Ironlake and later have a complete new set of values for interlaced. PFIT
5423 * means panel fitter required, PF means progressive fetch, DBL means power
5424 * saving pixel doubling. */
5425#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
5426#define PIPECONF_INTERLACED_ILK (3 << 21)
5427#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
5428#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 5429#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
439d7ac0 5430#define PIPECONF_EDP_RR_MODE_SWITCH (1 << 20)
652c393a 5431#define PIPECONF_CXSR_DOWNCLOCK (1<<16)
6fa7aec1 5432#define PIPECONF_EDP_RR_MODE_SWITCH_VLV (1 << 14)
3685a8f3 5433#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72
DV
5434#define PIPECONF_BPC_MASK (0x7 << 5)
5435#define PIPECONF_8BPC (0<<5)
5436#define PIPECONF_10BPC (1<<5)
5437#define PIPECONF_6BPC (2<<5)
5438#define PIPECONF_12BPC (3<<5)
4f0d1aff
JB
5439#define PIPECONF_DITHER_EN (1<<4)
5440#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
5441#define PIPECONF_DITHER_TYPE_SP (0<<2)
5442#define PIPECONF_DITHER_TYPE_ST1 (1<<2)
5443#define PIPECONF_DITHER_TYPE_ST2 (2<<2)
5444#define PIPECONF_DITHER_TYPE_TEMP (3<<2)
a57c774a 5445#define _PIPEASTAT 0x70024
585fb111 5446#define PIPE_FIFO_UNDERRUN_STATUS (1UL<<31)
579a9b0e 5447#define SPRITE1_FLIP_DONE_INT_EN_VLV (1UL<<30)
585fb111
JB
5448#define PIPE_CRC_ERROR_ENABLE (1UL<<29)
5449#define PIPE_CRC_DONE_ENABLE (1UL<<28)
8cc96e7c 5450#define PERF_COUNTER2_INTERRUPT_EN (1UL<<27)
585fb111 5451#define PIPE_GMBUS_EVENT_ENABLE (1UL<<27)
c46ce4d7 5452#define PLANE_FLIP_DONE_INT_EN_VLV (1UL<<26)
585fb111
JB
5453#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL<<26)
5454#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL<<25)
5455#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL<<24)
5456#define PIPE_DPST_EVENT_ENABLE (1UL<<23)
c70af1e4 5457#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL<<22)
585fb111
JB
5458#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL<<22)
5459#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL<<21)
5460#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL<<20)
10c59c51 5461#define PIPE_B_PSR_INTERRUPT_ENABLE_VLV (1UL<<19)
8cc96e7c 5462#define PERF_COUNTER_INTERRUPT_EN (1UL<<19)
585fb111
JB
5463#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL<<18) /* pre-965 */
5464#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL<<18) /* 965 or later */
8cc96e7c 5465#define PIPE_FRAMESTART_INTERRUPT_ENABLE (1UL<<17)
585fb111 5466#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL<<17)
c46ce4d7 5467#define PIPEA_HBLANK_INT_EN_VLV (1UL<<16)
585fb111 5468#define PIPE_OVERLAY_UPDATED_ENABLE (1UL<<16)
579a9b0e
ID
5469#define SPRITE1_FLIP_DONE_INT_STATUS_VLV (1UL<<15)
5470#define SPRITE0_FLIP_DONE_INT_STATUS_VLV (1UL<<14)
585fb111
JB
5471#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL<<13)
5472#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL<<12)
8cc96e7c 5473#define PERF_COUNTER2_INTERRUPT_STATUS (1UL<<11)
585fb111 5474#define PIPE_GMBUS_INTERRUPT_STATUS (1UL<<11)
579a9b0e 5475#define PLANE_FLIP_DONE_INT_STATUS_VLV (1UL<<10)
585fb111
JB
5476#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL<<10)
5477#define PIPE_VSYNC_INTERRUPT_STATUS (1UL<<9)
5478#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL<<8)
5479#define PIPE_DPST_EVENT_STATUS (1UL<<7)
10c59c51 5480#define PIPE_A_PSR_STATUS_VLV (1UL<<6)
8cc96e7c 5481#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL<<6)
585fb111
JB
5482#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL<<5)
5483#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL<<4)
10c59c51 5484#define PIPE_B_PSR_STATUS_VLV (1UL<<3)
8cc96e7c 5485#define PERF_COUNTER_INTERRUPT_STATUS (1UL<<3)
585fb111
JB
5486#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL<<2) /* pre-965 */
5487#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL<<2) /* 965 or later */
8cc96e7c 5488#define PIPE_FRAMESTART_INTERRUPT_STATUS (1UL<<1)
585fb111 5489#define PIPE_VBLANK_INTERRUPT_STATUS (1UL<<1)
8cc96e7c 5490#define PIPE_HBLANK_INT_STATUS (1UL<<0)
585fb111
JB
5491#define PIPE_OVERLAY_UPDATED_STATUS (1UL<<0)
5492
755e9019
ID
5493#define PIPESTAT_INT_ENABLE_MASK 0x7fff0000
5494#define PIPESTAT_INT_STATUS_MASK 0x0000ffff
5495
84fd4f4e
RB
5496#define PIPE_A_OFFSET 0x70000
5497#define PIPE_B_OFFSET 0x71000
5498#define PIPE_C_OFFSET 0x72000
5499#define CHV_PIPE_C_OFFSET 0x74000
a57c774a
AK
5500/*
5501 * There's actually no pipe EDP. Some pipe registers have
5502 * simply shifted from the pipe to the transcoder, while
5503 * keeping their original offset. Thus we need PIPE_EDP_OFFSET
5504 * to access such registers in transcoder EDP.
5505 */
5506#define PIPE_EDP_OFFSET 0x7f000
5507
f0f59a00 5508#define _MMIO_PIPE2(pipe, reg) _MMIO(dev_priv->info.pipe_offsets[pipe] - \
5c969aa7
DL
5509 dev_priv->info.pipe_offsets[PIPE_A] + (reg) + \
5510 dev_priv->info.display_mmio_offset)
a57c774a 5511
f0f59a00
VS
5512#define PIPECONF(pipe) _MMIO_PIPE2(pipe, _PIPEACONF)
5513#define PIPEDSL(pipe) _MMIO_PIPE2(pipe, _PIPEADSL)
5514#define PIPEFRAME(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEHIGH)
5515#define PIPEFRAMEPIXEL(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEPIXEL)
5516#define PIPESTAT(pipe) _MMIO_PIPE2(pipe, _PIPEASTAT)
5eddb70b 5517
756f85cf
PZ
5518#define _PIPE_MISC_A 0x70030
5519#define _PIPE_MISC_B 0x71030
b22ca995
SS
5520#define PIPEMISC_YUV420_ENABLE (1<<27)
5521#define PIPEMISC_YUV420_MODE_FULL_BLEND (1<<26)
5522#define PIPEMISC_OUTPUT_COLORSPACE_YUV (1<<11)
756f85cf
PZ
5523#define PIPEMISC_DITHER_BPC_MASK (7<<5)
5524#define PIPEMISC_DITHER_8_BPC (0<<5)
5525#define PIPEMISC_DITHER_10_BPC (1<<5)
5526#define PIPEMISC_DITHER_6_BPC (2<<5)
5527#define PIPEMISC_DITHER_12_BPC (3<<5)
5528#define PIPEMISC_DITHER_ENABLE (1<<4)
5529#define PIPEMISC_DITHER_TYPE_MASK (3<<2)
5530#define PIPEMISC_DITHER_TYPE_SP (0<<2)
f0f59a00 5531#define PIPEMISC(pipe) _MMIO_PIPE2(pipe, _PIPE_MISC_A)
756f85cf 5532
f0f59a00 5533#define VLV_DPFLIPSTAT _MMIO(VLV_DISPLAY_BASE + 0x70028)
7983117f 5534#define PIPEB_LINE_COMPARE_INT_EN (1<<29)
c46ce4d7
JB
5535#define PIPEB_HLINE_INT_EN (1<<28)
5536#define PIPEB_VBLANK_INT_EN (1<<27)
579a9b0e
ID
5537#define SPRITED_FLIP_DONE_INT_EN (1<<26)
5538#define SPRITEC_FLIP_DONE_INT_EN (1<<25)
5539#define PLANEB_FLIP_DONE_INT_EN (1<<24)
f3c67fdd 5540#define PIPE_PSR_INT_EN (1<<22)
7983117f 5541#define PIPEA_LINE_COMPARE_INT_EN (1<<21)
c46ce4d7
JB
5542#define PIPEA_HLINE_INT_EN (1<<20)
5543#define PIPEA_VBLANK_INT_EN (1<<19)
579a9b0e
ID
5544#define SPRITEB_FLIP_DONE_INT_EN (1<<18)
5545#define SPRITEA_FLIP_DONE_INT_EN (1<<17)
c46ce4d7 5546#define PLANEA_FLIPDONE_INT_EN (1<<16)
f3c67fdd
VS
5547#define PIPEC_LINE_COMPARE_INT_EN (1<<13)
5548#define PIPEC_HLINE_INT_EN (1<<12)
5549#define PIPEC_VBLANK_INT_EN (1<<11)
5550#define SPRITEF_FLIPDONE_INT_EN (1<<10)
5551#define SPRITEE_FLIPDONE_INT_EN (1<<9)
5552#define PLANEC_FLIPDONE_INT_EN (1<<8)
c46ce4d7 5553
f0f59a00 5554#define DPINVGTT _MMIO(VLV_DISPLAY_BASE + 0x7002c) /* VLV/CHV only */
bf67a6fd
VS
5555#define SPRITEF_INVALID_GTT_INT_EN (1<<27)
5556#define SPRITEE_INVALID_GTT_INT_EN (1<<26)
5557#define PLANEC_INVALID_GTT_INT_EN (1<<25)
5558#define CURSORC_INVALID_GTT_INT_EN (1<<24)
c46ce4d7
JB
5559#define CURSORB_INVALID_GTT_INT_EN (1<<23)
5560#define CURSORA_INVALID_GTT_INT_EN (1<<22)
5561#define SPRITED_INVALID_GTT_INT_EN (1<<21)
5562#define SPRITEC_INVALID_GTT_INT_EN (1<<20)
5563#define PLANEB_INVALID_GTT_INT_EN (1<<19)
5564#define SPRITEB_INVALID_GTT_INT_EN (1<<18)
5565#define SPRITEA_INVALID_GTT_INT_EN (1<<17)
5566#define PLANEA_INVALID_GTT_INT_EN (1<<16)
5567#define DPINVGTT_EN_MASK 0xff0000
bf67a6fd
VS
5568#define DPINVGTT_EN_MASK_CHV 0xfff0000
5569#define SPRITEF_INVALID_GTT_STATUS (1<<11)
5570#define SPRITEE_INVALID_GTT_STATUS (1<<10)
5571#define PLANEC_INVALID_GTT_STATUS (1<<9)
5572#define CURSORC_INVALID_GTT_STATUS (1<<8)
c46ce4d7
JB
5573#define CURSORB_INVALID_GTT_STATUS (1<<7)
5574#define CURSORA_INVALID_GTT_STATUS (1<<6)
5575#define SPRITED_INVALID_GTT_STATUS (1<<5)
5576#define SPRITEC_INVALID_GTT_STATUS (1<<4)
5577#define PLANEB_INVALID_GTT_STATUS (1<<3)
5578#define SPRITEB_INVALID_GTT_STATUS (1<<2)
5579#define SPRITEA_INVALID_GTT_STATUS (1<<1)
5580#define PLANEA_INVALID_GTT_STATUS (1<<0)
5581#define DPINVGTT_STATUS_MASK 0xff
bf67a6fd 5582#define DPINVGTT_STATUS_MASK_CHV 0xfff
c46ce4d7 5583
f0f59a00 5584#define DSPARB _MMIO(dev_priv->info.display_mmio_offset + 0x70030)
585fb111
JB
5585#define DSPARB_CSTART_MASK (0x7f << 7)
5586#define DSPARB_CSTART_SHIFT 7
5587#define DSPARB_BSTART_MASK (0x7f)
5588#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
5589#define DSPARB_BEND_SHIFT 9 /* on 855 */
5590#define DSPARB_AEND_SHIFT 0
54f1b6e1
VS
5591#define DSPARB_SPRITEA_SHIFT_VLV 0
5592#define DSPARB_SPRITEA_MASK_VLV (0xff << 0)
5593#define DSPARB_SPRITEB_SHIFT_VLV 8
5594#define DSPARB_SPRITEB_MASK_VLV (0xff << 8)
5595#define DSPARB_SPRITEC_SHIFT_VLV 16
5596#define DSPARB_SPRITEC_MASK_VLV (0xff << 16)
5597#define DSPARB_SPRITED_SHIFT_VLV 24
5598#define DSPARB_SPRITED_MASK_VLV (0xff << 24)
f0f59a00 5599#define DSPARB2 _MMIO(VLV_DISPLAY_BASE + 0x70060) /* vlv/chv */
54f1b6e1
VS
5600#define DSPARB_SPRITEA_HI_SHIFT_VLV 0
5601#define DSPARB_SPRITEA_HI_MASK_VLV (0x1 << 0)
5602#define DSPARB_SPRITEB_HI_SHIFT_VLV 4
5603#define DSPARB_SPRITEB_HI_MASK_VLV (0x1 << 4)
5604#define DSPARB_SPRITEC_HI_SHIFT_VLV 8
5605#define DSPARB_SPRITEC_HI_MASK_VLV (0x1 << 8)
5606#define DSPARB_SPRITED_HI_SHIFT_VLV 12
5607#define DSPARB_SPRITED_HI_MASK_VLV (0x1 << 12)
5608#define DSPARB_SPRITEE_HI_SHIFT_VLV 16
5609#define DSPARB_SPRITEE_HI_MASK_VLV (0x1 << 16)
5610#define DSPARB_SPRITEF_HI_SHIFT_VLV 20
5611#define DSPARB_SPRITEF_HI_MASK_VLV (0x1 << 20)
f0f59a00 5612#define DSPARB3 _MMIO(VLV_DISPLAY_BASE + 0x7006c) /* chv */
54f1b6e1
VS
5613#define DSPARB_SPRITEE_SHIFT_VLV 0
5614#define DSPARB_SPRITEE_MASK_VLV (0xff << 0)
5615#define DSPARB_SPRITEF_SHIFT_VLV 8
5616#define DSPARB_SPRITEF_MASK_VLV (0xff << 8)
b5004720 5617
0a560674 5618/* pnv/gen4/g4x/vlv/chv */
f0f59a00 5619#define DSPFW1 _MMIO(dev_priv->info.display_mmio_offset + 0x70034)
0a560674
VS
5620#define DSPFW_SR_SHIFT 23
5621#define DSPFW_SR_MASK (0x1ff<<23)
5622#define DSPFW_CURSORB_SHIFT 16
5623#define DSPFW_CURSORB_MASK (0x3f<<16)
5624#define DSPFW_PLANEB_SHIFT 8
5625#define DSPFW_PLANEB_MASK (0x7f<<8)
5626#define DSPFW_PLANEB_MASK_VLV (0xff<<8) /* vlv/chv */
5627#define DSPFW_PLANEA_SHIFT 0
5628#define DSPFW_PLANEA_MASK (0x7f<<0)
5629#define DSPFW_PLANEA_MASK_VLV (0xff<<0) /* vlv/chv */
f0f59a00 5630#define DSPFW2 _MMIO(dev_priv->info.display_mmio_offset + 0x70038)
0a560674
VS
5631#define DSPFW_FBC_SR_EN (1<<31) /* g4x */
5632#define DSPFW_FBC_SR_SHIFT 28
5633#define DSPFW_FBC_SR_MASK (0x7<<28) /* g4x */
5634#define DSPFW_FBC_HPLL_SR_SHIFT 24
5635#define DSPFW_FBC_HPLL_SR_MASK (0xf<<24) /* g4x */
5636#define DSPFW_SPRITEB_SHIFT (16)
5637#define DSPFW_SPRITEB_MASK (0x7f<<16) /* g4x */
5638#define DSPFW_SPRITEB_MASK_VLV (0xff<<16) /* vlv/chv */
5639#define DSPFW_CURSORA_SHIFT 8
5640#define DSPFW_CURSORA_MASK (0x3f<<8)
f4998963
VS
5641#define DSPFW_PLANEC_OLD_SHIFT 0
5642#define DSPFW_PLANEC_OLD_MASK (0x7f<<0) /* pre-gen4 sprite C */
0a560674
VS
5643#define DSPFW_SPRITEA_SHIFT 0
5644#define DSPFW_SPRITEA_MASK (0x7f<<0) /* g4x */
5645#define DSPFW_SPRITEA_MASK_VLV (0xff<<0) /* vlv/chv */
f0f59a00 5646#define DSPFW3 _MMIO(dev_priv->info.display_mmio_offset + 0x7003c)
0a560674 5647#define DSPFW_HPLL_SR_EN (1<<31)
f2b115e6 5648#define PINEVIEW_SELF_REFRESH_EN (1<<30)
0a560674 5649#define DSPFW_CURSOR_SR_SHIFT 24
d4294342
ZY
5650#define DSPFW_CURSOR_SR_MASK (0x3f<<24)
5651#define DSPFW_HPLL_CURSOR_SHIFT 16
5652#define DSPFW_HPLL_CURSOR_MASK (0x3f<<16)
0a560674
VS
5653#define DSPFW_HPLL_SR_SHIFT 0
5654#define DSPFW_HPLL_SR_MASK (0x1ff<<0)
5655
5656/* vlv/chv */
f0f59a00 5657#define DSPFW4 _MMIO(VLV_DISPLAY_BASE + 0x70070)
0a560674
VS
5658#define DSPFW_SPRITEB_WM1_SHIFT 16
5659#define DSPFW_SPRITEB_WM1_MASK (0xff<<16)
5660#define DSPFW_CURSORA_WM1_SHIFT 8
5661#define DSPFW_CURSORA_WM1_MASK (0x3f<<8)
5662#define DSPFW_SPRITEA_WM1_SHIFT 0
5663#define DSPFW_SPRITEA_WM1_MASK (0xff<<0)
f0f59a00 5664#define DSPFW5 _MMIO(VLV_DISPLAY_BASE + 0x70074)
0a560674
VS
5665#define DSPFW_PLANEB_WM1_SHIFT 24
5666#define DSPFW_PLANEB_WM1_MASK (0xff<<24)
5667#define DSPFW_PLANEA_WM1_SHIFT 16
5668#define DSPFW_PLANEA_WM1_MASK (0xff<<16)
5669#define DSPFW_CURSORB_WM1_SHIFT 8
5670#define DSPFW_CURSORB_WM1_MASK (0x3f<<8)
5671#define DSPFW_CURSOR_SR_WM1_SHIFT 0
5672#define DSPFW_CURSOR_SR_WM1_MASK (0x3f<<0)
f0f59a00 5673#define DSPFW6 _MMIO(VLV_DISPLAY_BASE + 0x70078)
0a560674
VS
5674#define DSPFW_SR_WM1_SHIFT 0
5675#define DSPFW_SR_WM1_MASK (0x1ff<<0)
f0f59a00
VS
5676#define DSPFW7 _MMIO(VLV_DISPLAY_BASE + 0x7007c)
5677#define DSPFW7_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b4) /* wtf #1? */
0a560674
VS
5678#define DSPFW_SPRITED_WM1_SHIFT 24
5679#define DSPFW_SPRITED_WM1_MASK (0xff<<24)
5680#define DSPFW_SPRITED_SHIFT 16
15665979 5681#define DSPFW_SPRITED_MASK_VLV (0xff<<16)
0a560674
VS
5682#define DSPFW_SPRITEC_WM1_SHIFT 8
5683#define DSPFW_SPRITEC_WM1_MASK (0xff<<8)
5684#define DSPFW_SPRITEC_SHIFT 0
15665979 5685#define DSPFW_SPRITEC_MASK_VLV (0xff<<0)
f0f59a00 5686#define DSPFW8_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b8)
0a560674
VS
5687#define DSPFW_SPRITEF_WM1_SHIFT 24
5688#define DSPFW_SPRITEF_WM1_MASK (0xff<<24)
5689#define DSPFW_SPRITEF_SHIFT 16
15665979 5690#define DSPFW_SPRITEF_MASK_VLV (0xff<<16)
0a560674
VS
5691#define DSPFW_SPRITEE_WM1_SHIFT 8
5692#define DSPFW_SPRITEE_WM1_MASK (0xff<<8)
5693#define DSPFW_SPRITEE_SHIFT 0
15665979 5694#define DSPFW_SPRITEE_MASK_VLV (0xff<<0)
f0f59a00 5695#define DSPFW9_CHV _MMIO(VLV_DISPLAY_BASE + 0x7007c) /* wtf #2? */
0a560674
VS
5696#define DSPFW_PLANEC_WM1_SHIFT 24
5697#define DSPFW_PLANEC_WM1_MASK (0xff<<24)
5698#define DSPFW_PLANEC_SHIFT 16
15665979 5699#define DSPFW_PLANEC_MASK_VLV (0xff<<16)
0a560674
VS
5700#define DSPFW_CURSORC_WM1_SHIFT 8
5701#define DSPFW_CURSORC_WM1_MASK (0x3f<<16)
5702#define DSPFW_CURSORC_SHIFT 0
5703#define DSPFW_CURSORC_MASK (0x3f<<0)
5704
5705/* vlv/chv high order bits */
f0f59a00 5706#define DSPHOWM _MMIO(VLV_DISPLAY_BASE + 0x70064)
0a560674 5707#define DSPFW_SR_HI_SHIFT 24
ae80152d 5708#define DSPFW_SR_HI_MASK (3<<24) /* 2 bits for chv, 1 for vlv */
0a560674
VS
5709#define DSPFW_SPRITEF_HI_SHIFT 23
5710#define DSPFW_SPRITEF_HI_MASK (1<<23)
5711#define DSPFW_SPRITEE_HI_SHIFT 22
5712#define DSPFW_SPRITEE_HI_MASK (1<<22)
5713#define DSPFW_PLANEC_HI_SHIFT 21
5714#define DSPFW_PLANEC_HI_MASK (1<<21)
5715#define DSPFW_SPRITED_HI_SHIFT 20
5716#define DSPFW_SPRITED_HI_MASK (1<<20)
5717#define DSPFW_SPRITEC_HI_SHIFT 16
5718#define DSPFW_SPRITEC_HI_MASK (1<<16)
5719#define DSPFW_PLANEB_HI_SHIFT 12
5720#define DSPFW_PLANEB_HI_MASK (1<<12)
5721#define DSPFW_SPRITEB_HI_SHIFT 8
5722#define DSPFW_SPRITEB_HI_MASK (1<<8)
5723#define DSPFW_SPRITEA_HI_SHIFT 4
5724#define DSPFW_SPRITEA_HI_MASK (1<<4)
5725#define DSPFW_PLANEA_HI_SHIFT 0
5726#define DSPFW_PLANEA_HI_MASK (1<<0)
f0f59a00 5727#define DSPHOWM1 _MMIO(VLV_DISPLAY_BASE + 0x70068)
0a560674 5728#define DSPFW_SR_WM1_HI_SHIFT 24
ae80152d 5729#define DSPFW_SR_WM1_HI_MASK (3<<24) /* 2 bits for chv, 1 for vlv */
0a560674
VS
5730#define DSPFW_SPRITEF_WM1_HI_SHIFT 23
5731#define DSPFW_SPRITEF_WM1_HI_MASK (1<<23)
5732#define DSPFW_SPRITEE_WM1_HI_SHIFT 22
5733#define DSPFW_SPRITEE_WM1_HI_MASK (1<<22)
5734#define DSPFW_PLANEC_WM1_HI_SHIFT 21
5735#define DSPFW_PLANEC_WM1_HI_MASK (1<<21)
5736#define DSPFW_SPRITED_WM1_HI_SHIFT 20
5737#define DSPFW_SPRITED_WM1_HI_MASK (1<<20)
5738#define DSPFW_SPRITEC_WM1_HI_SHIFT 16
5739#define DSPFW_SPRITEC_WM1_HI_MASK (1<<16)
5740#define DSPFW_PLANEB_WM1_HI_SHIFT 12
5741#define DSPFW_PLANEB_WM1_HI_MASK (1<<12)
5742#define DSPFW_SPRITEB_WM1_HI_SHIFT 8
5743#define DSPFW_SPRITEB_WM1_HI_MASK (1<<8)
5744#define DSPFW_SPRITEA_WM1_HI_SHIFT 4
5745#define DSPFW_SPRITEA_WM1_HI_MASK (1<<4)
5746#define DSPFW_PLANEA_WM1_HI_SHIFT 0
5747#define DSPFW_PLANEA_WM1_HI_MASK (1<<0)
7662c8bd 5748
12a3c055 5749/* drain latency register values*/
f0f59a00 5750#define VLV_DDL(pipe) _MMIO(VLV_DISPLAY_BASE + 0x70050 + 4 * (pipe))
1abc4dc7 5751#define DDL_CURSOR_SHIFT 24
01e184cc 5752#define DDL_SPRITE_SHIFT(sprite) (8+8*(sprite))
1abc4dc7 5753#define DDL_PLANE_SHIFT 0
341c526f
VS
5754#define DDL_PRECISION_HIGH (1<<7)
5755#define DDL_PRECISION_LOW (0<<7)
0948c265 5756#define DRAIN_LATENCY_MASK 0x7f
12a3c055 5757
f0f59a00 5758#define CBR1_VLV _MMIO(VLV_DISPLAY_BASE + 0x70400)
c6beb13e 5759#define CBR_PND_DEADLINE_DISABLE (1<<31)
aa17cdb4 5760#define CBR_PWM_CLOCK_MUX_SELECT (1<<30)
c6beb13e 5761
c231775c 5762#define CBR4_VLV _MMIO(VLV_DISPLAY_BASE + 0x70450)
dfa311f0 5763#define CBR_DPLLBMD_PIPE(pipe) (1<<(7+(pipe)*11)) /* pipes B and C */
c231775c 5764
7662c8bd 5765/* FIFO watermark sizes etc */
0e442c60 5766#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
5767#define I915_FIFO_LINE_SIZE 64
5768#define I830_FIFO_LINE_SIZE 32
0e442c60 5769
ceb04246 5770#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 5771#define G4X_FIFO_SIZE 127
1b07e04e
ZY
5772#define I965_FIFO_SIZE 512
5773#define I945_FIFO_SIZE 127
7662c8bd 5774#define I915_FIFO_SIZE 95
dff33cfc 5775#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 5776#define I830_FIFO_SIZE 95
0e442c60 5777
ceb04246 5778#define VALLEYVIEW_MAX_WM 0xff
0e442c60 5779#define G4X_MAX_WM 0x3f
7662c8bd
SL
5780#define I915_MAX_WM 0x3f
5781
f2b115e6
AJ
5782#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
5783#define PINEVIEW_FIFO_LINE_SIZE 64
5784#define PINEVIEW_MAX_WM 0x1ff
5785#define PINEVIEW_DFT_WM 0x3f
5786#define PINEVIEW_DFT_HPLLOFF_WM 0
5787#define PINEVIEW_GUARD_WM 10
5788#define PINEVIEW_CURSOR_FIFO 64
5789#define PINEVIEW_CURSOR_MAX_WM 0x3f
5790#define PINEVIEW_CURSOR_DFT_WM 0
5791#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 5792
ceb04246 5793#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
5794#define I965_CURSOR_FIFO 64
5795#define I965_CURSOR_MAX_WM 32
5796#define I965_CURSOR_DFT_WM 8
7f8a8569 5797
fae1267d 5798/* Watermark register definitions for SKL */
086f8e84
VS
5799#define _CUR_WM_A_0 0x70140
5800#define _CUR_WM_B_0 0x71140
5801#define _PLANE_WM_1_A_0 0x70240
5802#define _PLANE_WM_1_B_0 0x71240
5803#define _PLANE_WM_2_A_0 0x70340
5804#define _PLANE_WM_2_B_0 0x71340
5805#define _PLANE_WM_TRANS_1_A_0 0x70268
5806#define _PLANE_WM_TRANS_1_B_0 0x71268
5807#define _PLANE_WM_TRANS_2_A_0 0x70368
5808#define _PLANE_WM_TRANS_2_B_0 0x71368
5809#define _CUR_WM_TRANS_A_0 0x70168
5810#define _CUR_WM_TRANS_B_0 0x71168
fae1267d
PB
5811#define PLANE_WM_EN (1 << 31)
5812#define PLANE_WM_LINES_SHIFT 14
5813#define PLANE_WM_LINES_MASK 0x1f
5814#define PLANE_WM_BLOCKS_MASK 0x3ff
5815
086f8e84 5816#define _CUR_WM_0(pipe) _PIPE(pipe, _CUR_WM_A_0, _CUR_WM_B_0)
f0f59a00
VS
5817#define CUR_WM(pipe, level) _MMIO(_CUR_WM_0(pipe) + ((4) * (level)))
5818#define CUR_WM_TRANS(pipe) _MMIO_PIPE(pipe, _CUR_WM_TRANS_A_0, _CUR_WM_TRANS_B_0)
fae1267d 5819
086f8e84
VS
5820#define _PLANE_WM_1(pipe) _PIPE(pipe, _PLANE_WM_1_A_0, _PLANE_WM_1_B_0)
5821#define _PLANE_WM_2(pipe) _PIPE(pipe, _PLANE_WM_2_A_0, _PLANE_WM_2_B_0)
fae1267d
PB
5822#define _PLANE_WM_BASE(pipe, plane) \
5823 _PLANE(plane, _PLANE_WM_1(pipe), _PLANE_WM_2(pipe))
5824#define PLANE_WM(pipe, plane, level) \
f0f59a00 5825 _MMIO(_PLANE_WM_BASE(pipe, plane) + ((4) * (level)))
fae1267d 5826#define _PLANE_WM_TRANS_1(pipe) \
086f8e84 5827 _PIPE(pipe, _PLANE_WM_TRANS_1_A_0, _PLANE_WM_TRANS_1_B_0)
fae1267d 5828#define _PLANE_WM_TRANS_2(pipe) \
086f8e84 5829 _PIPE(pipe, _PLANE_WM_TRANS_2_A_0, _PLANE_WM_TRANS_2_B_0)
fae1267d 5830#define PLANE_WM_TRANS(pipe, plane) \
f0f59a00 5831 _MMIO(_PLANE(plane, _PLANE_WM_TRANS_1(pipe), _PLANE_WM_TRANS_2(pipe)))
fae1267d 5832
7f8a8569 5833/* define the Watermark register on Ironlake */
f0f59a00 5834#define WM0_PIPEA_ILK _MMIO(0x45100)
1996d624 5835#define WM0_PIPE_PLANE_MASK (0xffff<<16)
7f8a8569 5836#define WM0_PIPE_PLANE_SHIFT 16
1996d624 5837#define WM0_PIPE_SPRITE_MASK (0xff<<8)
7f8a8569 5838#define WM0_PIPE_SPRITE_SHIFT 8
1996d624 5839#define WM0_PIPE_CURSOR_MASK (0xff)
7f8a8569 5840
f0f59a00
VS
5841#define WM0_PIPEB_ILK _MMIO(0x45104)
5842#define WM0_PIPEC_IVB _MMIO(0x45200)
5843#define WM1_LP_ILK _MMIO(0x45108)
7f8a8569
ZW
5844#define WM1_LP_SR_EN (1<<31)
5845#define WM1_LP_LATENCY_SHIFT 24
5846#define WM1_LP_LATENCY_MASK (0x7f<<24)
4ed765f9
CW
5847#define WM1_LP_FBC_MASK (0xf<<20)
5848#define WM1_LP_FBC_SHIFT 20
416f4727 5849#define WM1_LP_FBC_SHIFT_BDW 19
1996d624 5850#define WM1_LP_SR_MASK (0x7ff<<8)
7f8a8569 5851#define WM1_LP_SR_SHIFT 8
1996d624 5852#define WM1_LP_CURSOR_MASK (0xff)
f0f59a00 5853#define WM2_LP_ILK _MMIO(0x4510c)
dd8849c8 5854#define WM2_LP_EN (1<<31)
f0f59a00 5855#define WM3_LP_ILK _MMIO(0x45110)
dd8849c8 5856#define WM3_LP_EN (1<<31)
f0f59a00
VS
5857#define WM1S_LP_ILK _MMIO(0x45120)
5858#define WM2S_LP_IVB _MMIO(0x45124)
5859#define WM3S_LP_IVB _MMIO(0x45128)
dd8849c8 5860#define WM1S_LP_EN (1<<31)
7f8a8569 5861
cca32e9a
PZ
5862#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
5863 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
5864 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
5865
7f8a8569 5866/* Memory latency timer register */
f0f59a00 5867#define MLTR_ILK _MMIO(0x11222)
b79d4990
JB
5868#define MLTR_WM1_SHIFT 0
5869#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
5870/* the unit of memory self-refresh latency time is 0.5us */
5871#define ILK_SRLT_MASK 0x3f
5872
1398261a
YL
5873
5874/* the address where we get all kinds of latency value */
f0f59a00 5875#define SSKPD _MMIO(0x5d10)
1398261a
YL
5876#define SSKPD_WM_MASK 0x3f
5877#define SSKPD_WM0_SHIFT 0
5878#define SSKPD_WM1_SHIFT 8
5879#define SSKPD_WM2_SHIFT 16
5880#define SSKPD_WM3_SHIFT 24
5881
585fb111
JB
5882/*
5883 * The two pipe frame counter registers are not synchronized, so
5884 * reading a stable value is somewhat tricky. The following code
5885 * should work:
5886 *
5887 * do {
5888 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
5889 * PIPE_FRAME_HIGH_SHIFT;
5890 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
5891 * PIPE_FRAME_LOW_SHIFT);
5892 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
5893 * PIPE_FRAME_HIGH_SHIFT);
5894 * } while (high1 != high2);
5895 * frame = (high1 << 8) | low1;
5896 */
25a2e2d0 5897#define _PIPEAFRAMEHIGH 0x70040
585fb111
JB
5898#define PIPE_FRAME_HIGH_MASK 0x0000ffff
5899#define PIPE_FRAME_HIGH_SHIFT 0
25a2e2d0 5900#define _PIPEAFRAMEPIXEL 0x70044
585fb111
JB
5901#define PIPE_FRAME_LOW_MASK 0xff000000
5902#define PIPE_FRAME_LOW_SHIFT 24
5903#define PIPE_PIXEL_MASK 0x00ffffff
5904#define PIPE_PIXEL_SHIFT 0
9880b7a5 5905/* GM45+ just has to be different */
fd8f507c
VS
5906#define _PIPEA_FRMCOUNT_G4X 0x70040
5907#define _PIPEA_FLIPCOUNT_G4X 0x70044
f0f59a00
VS
5908#define PIPE_FRMCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FRMCOUNT_G4X)
5909#define PIPE_FLIPCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FLIPCOUNT_G4X)
585fb111
JB
5910
5911/* Cursor A & B regs */
5efb3e28 5912#define _CURACNTR 0x70080
14b60391
JB
5913/* Old style CUR*CNTR flags (desktop 8xx) */
5914#define CURSOR_ENABLE 0x80000000
5915#define CURSOR_GAMMA_ENABLE 0x40000000
dc41c154
VS
5916#define CURSOR_STRIDE_SHIFT 28
5917#define CURSOR_STRIDE(x) ((ffs(x)-9) << CURSOR_STRIDE_SHIFT) /* 256,512,1k,2k */
86d3efce 5918#define CURSOR_PIPE_CSC_ENABLE (1<<24)
14b60391
JB
5919#define CURSOR_FORMAT_SHIFT 24
5920#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
5921#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
5922#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
5923#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
5924#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
5925#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
5926/* New style CUR*CNTR flags */
5927#define CURSOR_MODE 0x27
585fb111 5928#define CURSOR_MODE_DISABLE 0x00
4726e0b0
SK
5929#define CURSOR_MODE_128_32B_AX 0x02
5930#define CURSOR_MODE_256_32B_AX 0x03
585fb111 5931#define CURSOR_MODE_64_32B_AX 0x07
4726e0b0
SK
5932#define CURSOR_MODE_128_ARGB_AX ((1 << 5) | CURSOR_MODE_128_32B_AX)
5933#define CURSOR_MODE_256_ARGB_AX ((1 << 5) | CURSOR_MODE_256_32B_AX)
585fb111 5934#define CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
d509e28b 5935#define MCURSOR_PIPE_SELECT(pipe) ((pipe) << 28)
585fb111 5936#define MCURSOR_GAMMA_ENABLE (1 << 26)
4398ad45 5937#define CURSOR_ROTATE_180 (1<<15)
1f5d76db 5938#define CURSOR_TRICKLE_FEED_DISABLE (1 << 14)
5efb3e28
VS
5939#define _CURABASE 0x70084
5940#define _CURAPOS 0x70088
585fb111
JB
5941#define CURSOR_POS_MASK 0x007FF
5942#define CURSOR_POS_SIGN 0x8000
5943#define CURSOR_X_SHIFT 0
5944#define CURSOR_Y_SHIFT 16
024faac7
VS
5945#define CURSIZE _MMIO(0x700a0) /* 845/865 */
5946#define _CUR_FBC_CTL_A 0x700a0 /* ivb+ */
5947#define CUR_FBC_CTL_EN (1 << 31)
a8ada068 5948#define _CURASURFLIVE 0x700ac /* g4x+ */
5efb3e28
VS
5949#define _CURBCNTR 0x700c0
5950#define _CURBBASE 0x700c4
5951#define _CURBPOS 0x700c8
585fb111 5952
65a21cd6
JB
5953#define _CURBCNTR_IVB 0x71080
5954#define _CURBBASE_IVB 0x71084
5955#define _CURBPOS_IVB 0x71088
5956
f0f59a00 5957#define _CURSOR2(pipe, reg) _MMIO(dev_priv->info.cursor_offsets[(pipe)] - \
5efb3e28
VS
5958 dev_priv->info.cursor_offsets[PIPE_A] + (reg) + \
5959 dev_priv->info.display_mmio_offset)
5960
5961#define CURCNTR(pipe) _CURSOR2(pipe, _CURACNTR)
5962#define CURBASE(pipe) _CURSOR2(pipe, _CURABASE)
5963#define CURPOS(pipe) _CURSOR2(pipe, _CURAPOS)
024faac7 5964#define CUR_FBC_CTL(pipe) _CURSOR2(pipe, _CUR_FBC_CTL_A)
a8ada068 5965#define CURSURFLIVE(pipe) _CURSOR2(pipe, _CURASURFLIVE)
c4a1d9e4 5966
5efb3e28
VS
5967#define CURSOR_A_OFFSET 0x70080
5968#define CURSOR_B_OFFSET 0x700c0
5969#define CHV_CURSOR_C_OFFSET 0x700e0
5970#define IVB_CURSOR_B_OFFSET 0x71080
5971#define IVB_CURSOR_C_OFFSET 0x72080
65a21cd6 5972
585fb111 5973/* Display A control */
a57c774a 5974#define _DSPACNTR 0x70180
585fb111
JB
5975#define DISPLAY_PLANE_ENABLE (1<<31)
5976#define DISPLAY_PLANE_DISABLE 0
5977#define DISPPLANE_GAMMA_ENABLE (1<<30)
5978#define DISPPLANE_GAMMA_DISABLE 0
5979#define DISPPLANE_PIXFORMAT_MASK (0xf<<26)
57779d06 5980#define DISPPLANE_YUV422 (0x0<<26)
585fb111 5981#define DISPPLANE_8BPP (0x2<<26)
57779d06
VS
5982#define DISPPLANE_BGRA555 (0x3<<26)
5983#define DISPPLANE_BGRX555 (0x4<<26)
5984#define DISPPLANE_BGRX565 (0x5<<26)
5985#define DISPPLANE_BGRX888 (0x6<<26)
5986#define DISPPLANE_BGRA888 (0x7<<26)
5987#define DISPPLANE_RGBX101010 (0x8<<26)
5988#define DISPPLANE_RGBA101010 (0x9<<26)
5989#define DISPPLANE_BGRX101010 (0xa<<26)
5990#define DISPPLANE_RGBX161616 (0xc<<26)
5991#define DISPPLANE_RGBX888 (0xe<<26)
5992#define DISPPLANE_RGBA888 (0xf<<26)
585fb111
JB
5993#define DISPPLANE_STEREO_ENABLE (1<<25)
5994#define DISPPLANE_STEREO_DISABLE 0
86d3efce 5995#define DISPPLANE_PIPE_CSC_ENABLE (1<<24)
b24e7179
JB
5996#define DISPPLANE_SEL_PIPE_SHIFT 24
5997#define DISPPLANE_SEL_PIPE_MASK (3<<DISPPLANE_SEL_PIPE_SHIFT)
d509e28b 5998#define DISPPLANE_SEL_PIPE(pipe) ((pipe)<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111
JB
5999#define DISPPLANE_SRC_KEY_ENABLE (1<<22)
6000#define DISPPLANE_SRC_KEY_DISABLE 0
6001#define DISPPLANE_LINE_DOUBLE (1<<20)
6002#define DISPPLANE_NO_LINE_DOUBLE 0
6003#define DISPPLANE_STEREO_POLARITY_FIRST 0
6004#define DISPPLANE_STEREO_POLARITY_SECOND (1<<18)
c14b0485
VS
6005#define DISPPLANE_ALPHA_PREMULTIPLY (1<<16) /* CHV pipe B */
6006#define DISPPLANE_ROTATE_180 (1<<15)
f2b115e6 6007#define DISPPLANE_TRICKLE_FEED_DISABLE (1<<14) /* Ironlake */
f544847f 6008#define DISPPLANE_TILED (1<<10)
c14b0485 6009#define DISPPLANE_MIRROR (1<<8) /* CHV pipe B */
a57c774a
AK
6010#define _DSPAADDR 0x70184
6011#define _DSPASTRIDE 0x70188
6012#define _DSPAPOS 0x7018C /* reserved */
6013#define _DSPASIZE 0x70190
6014#define _DSPASURF 0x7019C /* 965+ only */
6015#define _DSPATILEOFF 0x701A4 /* 965+ only */
6016#define _DSPAOFFSET 0x701A4 /* HSW */
6017#define _DSPASURFLIVE 0x701AC
6018
f0f59a00
VS
6019#define DSPCNTR(plane) _MMIO_PIPE2(plane, _DSPACNTR)
6020#define DSPADDR(plane) _MMIO_PIPE2(plane, _DSPAADDR)
6021#define DSPSTRIDE(plane) _MMIO_PIPE2(plane, _DSPASTRIDE)
6022#define DSPPOS(plane) _MMIO_PIPE2(plane, _DSPAPOS)
6023#define DSPSIZE(plane) _MMIO_PIPE2(plane, _DSPASIZE)
6024#define DSPSURF(plane) _MMIO_PIPE2(plane, _DSPASURF)
6025#define DSPTILEOFF(plane) _MMIO_PIPE2(plane, _DSPATILEOFF)
6026#define DSPLINOFF(plane) DSPADDR(plane)
6027#define DSPOFFSET(plane) _MMIO_PIPE2(plane, _DSPAOFFSET)
6028#define DSPSURFLIVE(plane) _MMIO_PIPE2(plane, _DSPASURFLIVE)
5eddb70b 6029
c14b0485
VS
6030/* CHV pipe B blender and primary plane */
6031#define _CHV_BLEND_A 0x60a00
6032#define CHV_BLEND_LEGACY (0<<30)
6033#define CHV_BLEND_ANDROID (1<<30)
6034#define CHV_BLEND_MPO (2<<30)
6035#define CHV_BLEND_MASK (3<<30)
6036#define _CHV_CANVAS_A 0x60a04
6037#define _PRIMPOS_A 0x60a08
6038#define _PRIMSIZE_A 0x60a0c
6039#define _PRIMCNSTALPHA_A 0x60a10
6040#define PRIM_CONST_ALPHA_ENABLE (1<<31)
6041
f0f59a00
VS
6042#define CHV_BLEND(pipe) _MMIO_TRANS2(pipe, _CHV_BLEND_A)
6043#define CHV_CANVAS(pipe) _MMIO_TRANS2(pipe, _CHV_CANVAS_A)
6044#define PRIMPOS(plane) _MMIO_TRANS2(plane, _PRIMPOS_A)
6045#define PRIMSIZE(plane) _MMIO_TRANS2(plane, _PRIMSIZE_A)
6046#define PRIMCNSTALPHA(plane) _MMIO_TRANS2(plane, _PRIMCNSTALPHA_A)
c14b0485 6047
446f2545
AR
6048/* Display/Sprite base address macros */
6049#define DISP_BASEADDR_MASK (0xfffff000)
6050#define I915_LO_DISPBASE(val) (val & ~DISP_BASEADDR_MASK)
6051#define I915_HI_DISPBASE(val) (val & DISP_BASEADDR_MASK)
446f2545 6052
85fa792b
VS
6053/*
6054 * VBIOS flags
6055 * gen2:
6056 * [00:06] alm,mgm
6057 * [10:16] all
6058 * [30:32] alm,mgm
6059 * gen3+:
6060 * [00:0f] all
6061 * [10:1f] all
6062 * [30:32] all
6063 */
f0f59a00
VS
6064#define SWF0(i) _MMIO(dev_priv->info.display_mmio_offset + 0x70410 + (i) * 4)
6065#define SWF1(i) _MMIO(dev_priv->info.display_mmio_offset + 0x71410 + (i) * 4)
6066#define SWF3(i) _MMIO(dev_priv->info.display_mmio_offset + 0x72414 + (i) * 4)
6067#define SWF_ILK(i) _MMIO(0x4F000 + (i) * 4)
585fb111
JB
6068
6069/* Pipe B */
5c969aa7
DL
6070#define _PIPEBDSL (dev_priv->info.display_mmio_offset + 0x71000)
6071#define _PIPEBCONF (dev_priv->info.display_mmio_offset + 0x71008)
6072#define _PIPEBSTAT (dev_priv->info.display_mmio_offset + 0x71024)
25a2e2d0
VS
6073#define _PIPEBFRAMEHIGH 0x71040
6074#define _PIPEBFRAMEPIXEL 0x71044
fd8f507c
VS
6075#define _PIPEB_FRMCOUNT_G4X (dev_priv->info.display_mmio_offset + 0x71040)
6076#define _PIPEB_FLIPCOUNT_G4X (dev_priv->info.display_mmio_offset + 0x71044)
9880b7a5 6077
585fb111
JB
6078
6079/* Display B control */
5c969aa7 6080#define _DSPBCNTR (dev_priv->info.display_mmio_offset + 0x71180)
585fb111
JB
6081#define DISPPLANE_ALPHA_TRANS_ENABLE (1<<15)
6082#define DISPPLANE_ALPHA_TRANS_DISABLE 0
6083#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
6084#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
5c969aa7
DL
6085#define _DSPBADDR (dev_priv->info.display_mmio_offset + 0x71184)
6086#define _DSPBSTRIDE (dev_priv->info.display_mmio_offset + 0x71188)
6087#define _DSPBPOS (dev_priv->info.display_mmio_offset + 0x7118C)
6088#define _DSPBSIZE (dev_priv->info.display_mmio_offset + 0x71190)
6089#define _DSPBSURF (dev_priv->info.display_mmio_offset + 0x7119C)
6090#define _DSPBTILEOFF (dev_priv->info.display_mmio_offset + 0x711A4)
6091#define _DSPBOFFSET (dev_priv->info.display_mmio_offset + 0x711A4)
6092#define _DSPBSURFLIVE (dev_priv->info.display_mmio_offset + 0x711AC)
585fb111 6093
b840d907
JB
6094/* Sprite A control */
6095#define _DVSACNTR 0x72180
6096#define DVS_ENABLE (1<<31)
6097#define DVS_GAMMA_ENABLE (1<<30)
c8624ede 6098#define DVS_YUV_RANGE_CORRECTION_DISABLE (1<<27)
b840d907
JB
6099#define DVS_PIXFORMAT_MASK (3<<25)
6100#define DVS_FORMAT_YUV422 (0<<25)
6101#define DVS_FORMAT_RGBX101010 (1<<25)
6102#define DVS_FORMAT_RGBX888 (2<<25)
6103#define DVS_FORMAT_RGBX161616 (3<<25)
86d3efce 6104#define DVS_PIPE_CSC_ENABLE (1<<24)
b840d907 6105#define DVS_SOURCE_KEY (1<<22)
ab2f9df1 6106#define DVS_RGB_ORDER_XBGR (1<<20)
b0f5c0ba 6107#define DVS_YUV_FORMAT_BT709 (1<<18)
b840d907
JB
6108#define DVS_YUV_BYTE_ORDER_MASK (3<<16)
6109#define DVS_YUV_ORDER_YUYV (0<<16)
6110#define DVS_YUV_ORDER_UYVY (1<<16)
6111#define DVS_YUV_ORDER_YVYU (2<<16)
6112#define DVS_YUV_ORDER_VYUY (3<<16)
76eebda7 6113#define DVS_ROTATE_180 (1<<15)
b840d907
JB
6114#define DVS_DEST_KEY (1<<2)
6115#define DVS_TRICKLE_FEED_DISABLE (1<<14)
6116#define DVS_TILED (1<<10)
6117#define _DVSALINOFF 0x72184
6118#define _DVSASTRIDE 0x72188
6119#define _DVSAPOS 0x7218c
6120#define _DVSASIZE 0x72190
6121#define _DVSAKEYVAL 0x72194
6122#define _DVSAKEYMSK 0x72198
6123#define _DVSASURF 0x7219c
6124#define _DVSAKEYMAXVAL 0x721a0
6125#define _DVSATILEOFF 0x721a4
6126#define _DVSASURFLIVE 0x721ac
6127#define _DVSASCALE 0x72204
6128#define DVS_SCALE_ENABLE (1<<31)
6129#define DVS_FILTER_MASK (3<<29)
6130#define DVS_FILTER_MEDIUM (0<<29)
6131#define DVS_FILTER_ENHANCING (1<<29)
6132#define DVS_FILTER_SOFTENING (2<<29)
6133#define DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
6134#define DVS_VERTICAL_OFFSET_ENABLE (1<<27)
6135#define _DVSAGAMC 0x72300
6136
6137#define _DVSBCNTR 0x73180
6138#define _DVSBLINOFF 0x73184
6139#define _DVSBSTRIDE 0x73188
6140#define _DVSBPOS 0x7318c
6141#define _DVSBSIZE 0x73190
6142#define _DVSBKEYVAL 0x73194
6143#define _DVSBKEYMSK 0x73198
6144#define _DVSBSURF 0x7319c
6145#define _DVSBKEYMAXVAL 0x731a0
6146#define _DVSBTILEOFF 0x731a4
6147#define _DVSBSURFLIVE 0x731ac
6148#define _DVSBSCALE 0x73204
6149#define _DVSBGAMC 0x73300
6150
f0f59a00
VS
6151#define DVSCNTR(pipe) _MMIO_PIPE(pipe, _DVSACNTR, _DVSBCNTR)
6152#define DVSLINOFF(pipe) _MMIO_PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
6153#define DVSSTRIDE(pipe) _MMIO_PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
6154#define DVSPOS(pipe) _MMIO_PIPE(pipe, _DVSAPOS, _DVSBPOS)
6155#define DVSSURF(pipe) _MMIO_PIPE(pipe, _DVSASURF, _DVSBSURF)
6156#define DVSKEYMAX(pipe) _MMIO_PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
6157#define DVSSIZE(pipe) _MMIO_PIPE(pipe, _DVSASIZE, _DVSBSIZE)
6158#define DVSSCALE(pipe) _MMIO_PIPE(pipe, _DVSASCALE, _DVSBSCALE)
6159#define DVSTILEOFF(pipe) _MMIO_PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
6160#define DVSKEYVAL(pipe) _MMIO_PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
6161#define DVSKEYMSK(pipe) _MMIO_PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
6162#define DVSSURFLIVE(pipe) _MMIO_PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
6163
6164#define _SPRA_CTL 0x70280
6165#define SPRITE_ENABLE (1<<31)
6166#define SPRITE_GAMMA_ENABLE (1<<30)
c8624ede 6167#define SPRITE_YUV_RANGE_CORRECTION_DISABLE (1<<28)
b840d907
JB
6168#define SPRITE_PIXFORMAT_MASK (7<<25)
6169#define SPRITE_FORMAT_YUV422 (0<<25)
6170#define SPRITE_FORMAT_RGBX101010 (1<<25)
6171#define SPRITE_FORMAT_RGBX888 (2<<25)
6172#define SPRITE_FORMAT_RGBX161616 (3<<25)
6173#define SPRITE_FORMAT_YUV444 (4<<25)
6174#define SPRITE_FORMAT_XR_BGR101010 (5<<25) /* Extended range */
86d3efce 6175#define SPRITE_PIPE_CSC_ENABLE (1<<24)
b840d907
JB
6176#define SPRITE_SOURCE_KEY (1<<22)
6177#define SPRITE_RGB_ORDER_RGBX (1<<20) /* only for 888 and 161616 */
6178#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1<<19)
b0f5c0ba 6179#define SPRITE_YUV_TO_RGB_CSC_FORMAT_BT709 (1<<18) /* 0 is BT601 */
b840d907
JB
6180#define SPRITE_YUV_BYTE_ORDER_MASK (3<<16)
6181#define SPRITE_YUV_ORDER_YUYV (0<<16)
6182#define SPRITE_YUV_ORDER_UYVY (1<<16)
6183#define SPRITE_YUV_ORDER_YVYU (2<<16)
6184#define SPRITE_YUV_ORDER_VYUY (3<<16)
76eebda7 6185#define SPRITE_ROTATE_180 (1<<15)
b840d907
JB
6186#define SPRITE_TRICKLE_FEED_DISABLE (1<<14)
6187#define SPRITE_INT_GAMMA_ENABLE (1<<13)
6188#define SPRITE_TILED (1<<10)
6189#define SPRITE_DEST_KEY (1<<2)
6190#define _SPRA_LINOFF 0x70284
6191#define _SPRA_STRIDE 0x70288
6192#define _SPRA_POS 0x7028c
6193#define _SPRA_SIZE 0x70290
6194#define _SPRA_KEYVAL 0x70294
6195#define _SPRA_KEYMSK 0x70298
6196#define _SPRA_SURF 0x7029c
6197#define _SPRA_KEYMAX 0x702a0
6198#define _SPRA_TILEOFF 0x702a4
c54173a8 6199#define _SPRA_OFFSET 0x702a4
32ae46bf 6200#define _SPRA_SURFLIVE 0x702ac
b840d907
JB
6201#define _SPRA_SCALE 0x70304
6202#define SPRITE_SCALE_ENABLE (1<<31)
6203#define SPRITE_FILTER_MASK (3<<29)
6204#define SPRITE_FILTER_MEDIUM (0<<29)
6205#define SPRITE_FILTER_ENHANCING (1<<29)
6206#define SPRITE_FILTER_SOFTENING (2<<29)
6207#define SPRITE_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
6208#define SPRITE_VERTICAL_OFFSET_ENABLE (1<<27)
6209#define _SPRA_GAMC 0x70400
6210
6211#define _SPRB_CTL 0x71280
6212#define _SPRB_LINOFF 0x71284
6213#define _SPRB_STRIDE 0x71288
6214#define _SPRB_POS 0x7128c
6215#define _SPRB_SIZE 0x71290
6216#define _SPRB_KEYVAL 0x71294
6217#define _SPRB_KEYMSK 0x71298
6218#define _SPRB_SURF 0x7129c
6219#define _SPRB_KEYMAX 0x712a0
6220#define _SPRB_TILEOFF 0x712a4
c54173a8 6221#define _SPRB_OFFSET 0x712a4
32ae46bf 6222#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
6223#define _SPRB_SCALE 0x71304
6224#define _SPRB_GAMC 0x71400
6225
f0f59a00
VS
6226#define SPRCTL(pipe) _MMIO_PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
6227#define SPRLINOFF(pipe) _MMIO_PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
6228#define SPRSTRIDE(pipe) _MMIO_PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
6229#define SPRPOS(pipe) _MMIO_PIPE(pipe, _SPRA_POS, _SPRB_POS)
6230#define SPRSIZE(pipe) _MMIO_PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
6231#define SPRKEYVAL(pipe) _MMIO_PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
6232#define SPRKEYMSK(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
6233#define SPRSURF(pipe) _MMIO_PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
6234#define SPRKEYMAX(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
6235#define SPRTILEOFF(pipe) _MMIO_PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
6236#define SPROFFSET(pipe) _MMIO_PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
6237#define SPRSCALE(pipe) _MMIO_PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
6238#define SPRGAMC(pipe) _MMIO_PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
6239#define SPRSURFLIVE(pipe) _MMIO_PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 6240
921c3b67 6241#define _SPACNTR (VLV_DISPLAY_BASE + 0x72180)
7f1f3851 6242#define SP_ENABLE (1<<31)
4ea67bc7 6243#define SP_GAMMA_ENABLE (1<<30)
7f1f3851
JB
6244#define SP_PIXFORMAT_MASK (0xf<<26)
6245#define SP_FORMAT_YUV422 (0<<26)
6246#define SP_FORMAT_BGR565 (5<<26)
6247#define SP_FORMAT_BGRX8888 (6<<26)
6248#define SP_FORMAT_BGRA8888 (7<<26)
6249#define SP_FORMAT_RGBX1010102 (8<<26)
6250#define SP_FORMAT_RGBA1010102 (9<<26)
6251#define SP_FORMAT_RGBX8888 (0xe<<26)
6252#define SP_FORMAT_RGBA8888 (0xf<<26)
c14b0485 6253#define SP_ALPHA_PREMULTIPLY (1<<23) /* CHV pipe B */
7f1f3851 6254#define SP_SOURCE_KEY (1<<22)
b0f5c0ba 6255#define SP_YUV_FORMAT_BT709 (1<<18)
7f1f3851
JB
6256#define SP_YUV_BYTE_ORDER_MASK (3<<16)
6257#define SP_YUV_ORDER_YUYV (0<<16)
6258#define SP_YUV_ORDER_UYVY (1<<16)
6259#define SP_YUV_ORDER_YVYU (2<<16)
6260#define SP_YUV_ORDER_VYUY (3<<16)
76eebda7 6261#define SP_ROTATE_180 (1<<15)
7f1f3851 6262#define SP_TILED (1<<10)
c14b0485 6263#define SP_MIRROR (1<<8) /* CHV pipe B */
921c3b67
VS
6264#define _SPALINOFF (VLV_DISPLAY_BASE + 0x72184)
6265#define _SPASTRIDE (VLV_DISPLAY_BASE + 0x72188)
6266#define _SPAPOS (VLV_DISPLAY_BASE + 0x7218c)
6267#define _SPASIZE (VLV_DISPLAY_BASE + 0x72190)
6268#define _SPAKEYMINVAL (VLV_DISPLAY_BASE + 0x72194)
6269#define _SPAKEYMSK (VLV_DISPLAY_BASE + 0x72198)
6270#define _SPASURF (VLV_DISPLAY_BASE + 0x7219c)
6271#define _SPAKEYMAXVAL (VLV_DISPLAY_BASE + 0x721a0)
6272#define _SPATILEOFF (VLV_DISPLAY_BASE + 0x721a4)
6273#define _SPACONSTALPHA (VLV_DISPLAY_BASE + 0x721a8)
c14b0485 6274#define SP_CONST_ALPHA_ENABLE (1<<31)
5deae919
VS
6275#define _SPACLRC0 (VLV_DISPLAY_BASE + 0x721d0)
6276#define SP_CONTRAST(x) ((x) << 18) /* u3.6 */
6277#define SP_BRIGHTNESS(x) ((x) & 0xff) /* s8 */
6278#define _SPACLRC1 (VLV_DISPLAY_BASE + 0x721d4)
6279#define SP_SH_SIN(x) (((x) & 0x7ff) << 16) /* s4.7 */
6280#define SP_SH_COS(x) (x) /* u3.7 */
921c3b67
VS
6281#define _SPAGAMC (VLV_DISPLAY_BASE + 0x721f4)
6282
6283#define _SPBCNTR (VLV_DISPLAY_BASE + 0x72280)
6284#define _SPBLINOFF (VLV_DISPLAY_BASE + 0x72284)
6285#define _SPBSTRIDE (VLV_DISPLAY_BASE + 0x72288)
6286#define _SPBPOS (VLV_DISPLAY_BASE + 0x7228c)
6287#define _SPBSIZE (VLV_DISPLAY_BASE + 0x72290)
6288#define _SPBKEYMINVAL (VLV_DISPLAY_BASE + 0x72294)
6289#define _SPBKEYMSK (VLV_DISPLAY_BASE + 0x72298)
6290#define _SPBSURF (VLV_DISPLAY_BASE + 0x7229c)
6291#define _SPBKEYMAXVAL (VLV_DISPLAY_BASE + 0x722a0)
6292#define _SPBTILEOFF (VLV_DISPLAY_BASE + 0x722a4)
6293#define _SPBCONSTALPHA (VLV_DISPLAY_BASE + 0x722a8)
5deae919
VS
6294#define _SPBCLRC0 (VLV_DISPLAY_BASE + 0x722d0)
6295#define _SPBCLRC1 (VLV_DISPLAY_BASE + 0x722d4)
921c3b67 6296#define _SPBGAMC (VLV_DISPLAY_BASE + 0x722f4)
7f1f3851 6297
83c04a62
VS
6298#define _MMIO_VLV_SPR(pipe, plane_id, reg_a, reg_b) \
6299 _MMIO_PIPE((pipe) * 2 + (plane_id) - PLANE_SPRITE0, (reg_a), (reg_b))
6300
6301#define SPCNTR(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACNTR, _SPBCNTR)
6302#define SPLINOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPALINOFF, _SPBLINOFF)
6303#define SPSTRIDE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASTRIDE, _SPBSTRIDE)
6304#define SPPOS(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAPOS, _SPBPOS)
6305#define SPSIZE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASIZE, _SPBSIZE)
6306#define SPKEYMINVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMINVAL, _SPBKEYMINVAL)
6307#define SPKEYMSK(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMSK, _SPBKEYMSK)
6308#define SPSURF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASURF, _SPBSURF)
6309#define SPKEYMAXVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMAXVAL, _SPBKEYMAXVAL)
6310#define SPTILEOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPATILEOFF, _SPBTILEOFF)
6311#define SPCONSTALPHA(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACONSTALPHA, _SPBCONSTALPHA)
5deae919
VS
6312#define SPCLRC0(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC0, _SPBCLRC0)
6313#define SPCLRC1(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC1, _SPBCLRC1)
83c04a62 6314#define SPGAMC(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAGAMC, _SPBGAMC)
7f1f3851 6315
6ca2aeb2
VS
6316/*
6317 * CHV pipe B sprite CSC
6318 *
6319 * |cr| |c0 c1 c2| |cr + cr_ioff| |cr_ooff|
6320 * |yg| = |c3 c4 c5| x |yg + yg_ioff| + |yg_ooff|
6321 * |cb| |c6 c7 c8| |cb + cr_ioff| |cb_ooff|
6322 */
83c04a62
VS
6323#define _MMIO_CHV_SPCSC(plane_id, reg) \
6324 _MMIO(VLV_DISPLAY_BASE + ((plane_id) - PLANE_SPRITE0) * 0x1000 + (reg))
6325
6326#define SPCSCYGOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d900)
6327#define SPCSCCBOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d904)
6328#define SPCSCCROFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d908)
6ca2aeb2
VS
6329#define SPCSC_OOFF(x) (((x) & 0x7ff) << 16) /* s11 */
6330#define SPCSC_IOFF(x) (((x) & 0x7ff) << 0) /* s11 */
6331
83c04a62
VS
6332#define SPCSCC01(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d90c)
6333#define SPCSCC23(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d910)
6334#define SPCSCC45(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d914)
6335#define SPCSCC67(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d918)
6336#define SPCSCC8(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d91c)
6ca2aeb2
VS
6337#define SPCSC_C1(x) (((x) & 0x7fff) << 16) /* s3.12 */
6338#define SPCSC_C0(x) (((x) & 0x7fff) << 0) /* s3.12 */
6339
83c04a62
VS
6340#define SPCSCYGICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d920)
6341#define SPCSCCBICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d924)
6342#define SPCSCCRICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d928)
6ca2aeb2
VS
6343#define SPCSC_IMAX(x) (((x) & 0x7ff) << 16) /* s11 */
6344#define SPCSC_IMIN(x) (((x) & 0x7ff) << 0) /* s11 */
6345
83c04a62
VS
6346#define SPCSCYGOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d92c)
6347#define SPCSCCBOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d930)
6348#define SPCSCCROCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d934)
6ca2aeb2
VS
6349#define SPCSC_OMAX(x) ((x) << 16) /* u10 */
6350#define SPCSC_OMIN(x) ((x) << 0) /* u10 */
6351
70d21f0e
DL
6352/* Skylake plane registers */
6353
6354#define _PLANE_CTL_1_A 0x70180
6355#define _PLANE_CTL_2_A 0x70280
6356#define _PLANE_CTL_3_A 0x70380
6357#define PLANE_CTL_ENABLE (1 << 31)
4036c78c 6358#define PLANE_CTL_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-GLK */
c8624ede 6359#define PLANE_CTL_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
b5972776
JA
6360/*
6361 * ICL+ uses the same PLANE_CTL_FORMAT bits, but the field definition
6362 * expanded to include bit 23 as well. However, the shift-24 based values
6363 * correctly map to the same formats in ICL, as long as bit 23 is set to 0
6364 */
70d21f0e
DL
6365#define PLANE_CTL_FORMAT_MASK (0xf << 24)
6366#define PLANE_CTL_FORMAT_YUV422 ( 0 << 24)
6367#define PLANE_CTL_FORMAT_NV12 ( 1 << 24)
6368#define PLANE_CTL_FORMAT_XRGB_2101010 ( 2 << 24)
6369#define PLANE_CTL_FORMAT_XRGB_8888 ( 4 << 24)
6370#define PLANE_CTL_FORMAT_XRGB_16161616F ( 6 << 24)
6371#define PLANE_CTL_FORMAT_AYUV ( 8 << 24)
6372#define PLANE_CTL_FORMAT_INDEXED ( 12 << 24)
6373#define PLANE_CTL_FORMAT_RGB_565 ( 14 << 24)
b5972776 6374#define ICL_PLANE_CTL_FORMAT_MASK (0x1f << 23)
4036c78c 6375#define PLANE_CTL_PIPE_CSC_ENABLE (1 << 23) /* Pre-GLK */
dc2a41b4
DL
6376#define PLANE_CTL_KEY_ENABLE_MASK (0x3 << 21)
6377#define PLANE_CTL_KEY_ENABLE_SOURCE ( 1 << 21)
6378#define PLANE_CTL_KEY_ENABLE_DESTINATION ( 2 << 21)
70d21f0e
DL
6379#define PLANE_CTL_ORDER_BGRX (0 << 20)
6380#define PLANE_CTL_ORDER_RGBX (1 << 20)
b0f5c0ba 6381#define PLANE_CTL_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18)
70d21f0e
DL
6382#define PLANE_CTL_YUV422_ORDER_MASK (0x3 << 16)
6383#define PLANE_CTL_YUV422_YUYV ( 0 << 16)
6384#define PLANE_CTL_YUV422_UYVY ( 1 << 16)
6385#define PLANE_CTL_YUV422_YVYU ( 2 << 16)
6386#define PLANE_CTL_YUV422_VYUY ( 3 << 16)
6387#define PLANE_CTL_DECOMPRESSION_ENABLE (1 << 15)
6388#define PLANE_CTL_TRICKLE_FEED_DISABLE (1 << 14)
4036c78c 6389#define PLANE_CTL_PLANE_GAMMA_DISABLE (1 << 13) /* Pre-GLK */
70d21f0e
DL
6390#define PLANE_CTL_TILED_MASK (0x7 << 10)
6391#define PLANE_CTL_TILED_LINEAR ( 0 << 10)
6392#define PLANE_CTL_TILED_X ( 1 << 10)
6393#define PLANE_CTL_TILED_Y ( 4 << 10)
6394#define PLANE_CTL_TILED_YF ( 5 << 10)
5f8e3f57 6395#define PLANE_CTL_FLIP_HORIZONTAL ( 1 << 8)
4036c78c 6396#define PLANE_CTL_ALPHA_MASK (0x3 << 4) /* Pre-GLK */
70d21f0e
DL
6397#define PLANE_CTL_ALPHA_DISABLE ( 0 << 4)
6398#define PLANE_CTL_ALPHA_SW_PREMULTIPLY ( 2 << 4)
6399#define PLANE_CTL_ALPHA_HW_PREMULTIPLY ( 3 << 4)
1447dde0
SJ
6400#define PLANE_CTL_ROTATE_MASK 0x3
6401#define PLANE_CTL_ROTATE_0 0x0
3b7a5119 6402#define PLANE_CTL_ROTATE_90 0x1
1447dde0 6403#define PLANE_CTL_ROTATE_180 0x2
3b7a5119 6404#define PLANE_CTL_ROTATE_270 0x3
70d21f0e
DL
6405#define _PLANE_STRIDE_1_A 0x70188
6406#define _PLANE_STRIDE_2_A 0x70288
6407#define _PLANE_STRIDE_3_A 0x70388
6408#define _PLANE_POS_1_A 0x7018c
6409#define _PLANE_POS_2_A 0x7028c
6410#define _PLANE_POS_3_A 0x7038c
6411#define _PLANE_SIZE_1_A 0x70190
6412#define _PLANE_SIZE_2_A 0x70290
6413#define _PLANE_SIZE_3_A 0x70390
6414#define _PLANE_SURF_1_A 0x7019c
6415#define _PLANE_SURF_2_A 0x7029c
6416#define _PLANE_SURF_3_A 0x7039c
6417#define _PLANE_OFFSET_1_A 0x701a4
6418#define _PLANE_OFFSET_2_A 0x702a4
6419#define _PLANE_OFFSET_3_A 0x703a4
dc2a41b4
DL
6420#define _PLANE_KEYVAL_1_A 0x70194
6421#define _PLANE_KEYVAL_2_A 0x70294
6422#define _PLANE_KEYMSK_1_A 0x70198
6423#define _PLANE_KEYMSK_2_A 0x70298
6424#define _PLANE_KEYMAX_1_A 0x701a0
6425#define _PLANE_KEYMAX_2_A 0x702a0
2e2adb05
VS
6426#define _PLANE_AUX_DIST_1_A 0x701c0
6427#define _PLANE_AUX_DIST_2_A 0x702c0
6428#define _PLANE_AUX_OFFSET_1_A 0x701c4
6429#define _PLANE_AUX_OFFSET_2_A 0x702c4
47f9ea8b
ACO
6430#define _PLANE_COLOR_CTL_1_A 0x701CC /* GLK+ */
6431#define _PLANE_COLOR_CTL_2_A 0x702CC /* GLK+ */
6432#define _PLANE_COLOR_CTL_3_A 0x703CC /* GLK+ */
077ef1f0 6433#define PLANE_COLOR_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-ICL */
c8624ede 6434#define PLANE_COLOR_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
077ef1f0 6435#define PLANE_COLOR_PIPE_CSC_ENABLE (1 << 23) /* Pre-ICL */
38f24f21
VS
6436#define PLANE_COLOR_CSC_MODE_BYPASS (0 << 17)
6437#define PLANE_COLOR_CSC_MODE_YUV601_TO_RGB709 (1 << 17)
6438#define PLANE_COLOR_CSC_MODE_YUV709_TO_RGB709 (2 << 17)
6439#define PLANE_COLOR_CSC_MODE_YUV2020_TO_RGB2020 (3 << 17)
6440#define PLANE_COLOR_CSC_MODE_RGB709_TO_RGB2020 (4 << 17)
47f9ea8b 6441#define PLANE_COLOR_PLANE_GAMMA_DISABLE (1 << 13)
4036c78c
JA
6442#define PLANE_COLOR_ALPHA_MASK (0x3 << 4)
6443#define PLANE_COLOR_ALPHA_DISABLE (0 << 4)
6444#define PLANE_COLOR_ALPHA_SW_PREMULTIPLY (2 << 4)
6445#define PLANE_COLOR_ALPHA_HW_PREMULTIPLY (3 << 4)
8211bd5b
DL
6446#define _PLANE_BUF_CFG_1_A 0x7027c
6447#define _PLANE_BUF_CFG_2_A 0x7037c
2cd601c6
CK
6448#define _PLANE_NV12_BUF_CFG_1_A 0x70278
6449#define _PLANE_NV12_BUF_CFG_2_A 0x70378
70d21f0e 6450
47f9ea8b 6451
70d21f0e
DL
6452#define _PLANE_CTL_1_B 0x71180
6453#define _PLANE_CTL_2_B 0x71280
6454#define _PLANE_CTL_3_B 0x71380
6455#define _PLANE_CTL_1(pipe) _PIPE(pipe, _PLANE_CTL_1_A, _PLANE_CTL_1_B)
6456#define _PLANE_CTL_2(pipe) _PIPE(pipe, _PLANE_CTL_2_A, _PLANE_CTL_2_B)
6457#define _PLANE_CTL_3(pipe) _PIPE(pipe, _PLANE_CTL_3_A, _PLANE_CTL_3_B)
6458#define PLANE_CTL(pipe, plane) \
f0f59a00 6459 _MMIO_PLANE(plane, _PLANE_CTL_1(pipe), _PLANE_CTL_2(pipe))
70d21f0e
DL
6460
6461#define _PLANE_STRIDE_1_B 0x71188
6462#define _PLANE_STRIDE_2_B 0x71288
6463#define _PLANE_STRIDE_3_B 0x71388
6464#define _PLANE_STRIDE_1(pipe) \
6465 _PIPE(pipe, _PLANE_STRIDE_1_A, _PLANE_STRIDE_1_B)
6466#define _PLANE_STRIDE_2(pipe) \
6467 _PIPE(pipe, _PLANE_STRIDE_2_A, _PLANE_STRIDE_2_B)
6468#define _PLANE_STRIDE_3(pipe) \
6469 _PIPE(pipe, _PLANE_STRIDE_3_A, _PLANE_STRIDE_3_B)
6470#define PLANE_STRIDE(pipe, plane) \
f0f59a00 6471 _MMIO_PLANE(plane, _PLANE_STRIDE_1(pipe), _PLANE_STRIDE_2(pipe))
70d21f0e
DL
6472
6473#define _PLANE_POS_1_B 0x7118c
6474#define _PLANE_POS_2_B 0x7128c
6475#define _PLANE_POS_3_B 0x7138c
6476#define _PLANE_POS_1(pipe) _PIPE(pipe, _PLANE_POS_1_A, _PLANE_POS_1_B)
6477#define _PLANE_POS_2(pipe) _PIPE(pipe, _PLANE_POS_2_A, _PLANE_POS_2_B)
6478#define _PLANE_POS_3(pipe) _PIPE(pipe, _PLANE_POS_3_A, _PLANE_POS_3_B)
6479#define PLANE_POS(pipe, plane) \
f0f59a00 6480 _MMIO_PLANE(plane, _PLANE_POS_1(pipe), _PLANE_POS_2(pipe))
70d21f0e
DL
6481
6482#define _PLANE_SIZE_1_B 0x71190
6483#define _PLANE_SIZE_2_B 0x71290
6484#define _PLANE_SIZE_3_B 0x71390
6485#define _PLANE_SIZE_1(pipe) _PIPE(pipe, _PLANE_SIZE_1_A, _PLANE_SIZE_1_B)
6486#define _PLANE_SIZE_2(pipe) _PIPE(pipe, _PLANE_SIZE_2_A, _PLANE_SIZE_2_B)
6487#define _PLANE_SIZE_3(pipe) _PIPE(pipe, _PLANE_SIZE_3_A, _PLANE_SIZE_3_B)
6488#define PLANE_SIZE(pipe, plane) \
f0f59a00 6489 _MMIO_PLANE(plane, _PLANE_SIZE_1(pipe), _PLANE_SIZE_2(pipe))
70d21f0e
DL
6490
6491#define _PLANE_SURF_1_B 0x7119c
6492#define _PLANE_SURF_2_B 0x7129c
6493#define _PLANE_SURF_3_B 0x7139c
6494#define _PLANE_SURF_1(pipe) _PIPE(pipe, _PLANE_SURF_1_A, _PLANE_SURF_1_B)
6495#define _PLANE_SURF_2(pipe) _PIPE(pipe, _PLANE_SURF_2_A, _PLANE_SURF_2_B)
6496#define _PLANE_SURF_3(pipe) _PIPE(pipe, _PLANE_SURF_3_A, _PLANE_SURF_3_B)
6497#define PLANE_SURF(pipe, plane) \
f0f59a00 6498 _MMIO_PLANE(plane, _PLANE_SURF_1(pipe), _PLANE_SURF_2(pipe))
70d21f0e
DL
6499
6500#define _PLANE_OFFSET_1_B 0x711a4
6501#define _PLANE_OFFSET_2_B 0x712a4
6502#define _PLANE_OFFSET_1(pipe) _PIPE(pipe, _PLANE_OFFSET_1_A, _PLANE_OFFSET_1_B)
6503#define _PLANE_OFFSET_2(pipe) _PIPE(pipe, _PLANE_OFFSET_2_A, _PLANE_OFFSET_2_B)
6504#define PLANE_OFFSET(pipe, plane) \
f0f59a00 6505 _MMIO_PLANE(plane, _PLANE_OFFSET_1(pipe), _PLANE_OFFSET_2(pipe))
70d21f0e 6506
dc2a41b4
DL
6507#define _PLANE_KEYVAL_1_B 0x71194
6508#define _PLANE_KEYVAL_2_B 0x71294
6509#define _PLANE_KEYVAL_1(pipe) _PIPE(pipe, _PLANE_KEYVAL_1_A, _PLANE_KEYVAL_1_B)
6510#define _PLANE_KEYVAL_2(pipe) _PIPE(pipe, _PLANE_KEYVAL_2_A, _PLANE_KEYVAL_2_B)
6511#define PLANE_KEYVAL(pipe, plane) \
f0f59a00 6512 _MMIO_PLANE(plane, _PLANE_KEYVAL_1(pipe), _PLANE_KEYVAL_2(pipe))
dc2a41b4
DL
6513
6514#define _PLANE_KEYMSK_1_B 0x71198
6515#define _PLANE_KEYMSK_2_B 0x71298
6516#define _PLANE_KEYMSK_1(pipe) _PIPE(pipe, _PLANE_KEYMSK_1_A, _PLANE_KEYMSK_1_B)
6517#define _PLANE_KEYMSK_2(pipe) _PIPE(pipe, _PLANE_KEYMSK_2_A, _PLANE_KEYMSK_2_B)
6518#define PLANE_KEYMSK(pipe, plane) \
f0f59a00 6519 _MMIO_PLANE(plane, _PLANE_KEYMSK_1(pipe), _PLANE_KEYMSK_2(pipe))
dc2a41b4
DL
6520
6521#define _PLANE_KEYMAX_1_B 0x711a0
6522#define _PLANE_KEYMAX_2_B 0x712a0
6523#define _PLANE_KEYMAX_1(pipe) _PIPE(pipe, _PLANE_KEYMAX_1_A, _PLANE_KEYMAX_1_B)
6524#define _PLANE_KEYMAX_2(pipe) _PIPE(pipe, _PLANE_KEYMAX_2_A, _PLANE_KEYMAX_2_B)
6525#define PLANE_KEYMAX(pipe, plane) \
f0f59a00 6526 _MMIO_PLANE(plane, _PLANE_KEYMAX_1(pipe), _PLANE_KEYMAX_2(pipe))
dc2a41b4 6527
8211bd5b
DL
6528#define _PLANE_BUF_CFG_1_B 0x7127c
6529#define _PLANE_BUF_CFG_2_B 0x7137c
37cde11b
MK
6530#define SKL_DDB_ENTRY_MASK 0x3FF
6531#define ICL_DDB_ENTRY_MASK 0x7FF
6532#define DDB_ENTRY_END_SHIFT 16
8211bd5b
DL
6533#define _PLANE_BUF_CFG_1(pipe) \
6534 _PIPE(pipe, _PLANE_BUF_CFG_1_A, _PLANE_BUF_CFG_1_B)
6535#define _PLANE_BUF_CFG_2(pipe) \
6536 _PIPE(pipe, _PLANE_BUF_CFG_2_A, _PLANE_BUF_CFG_2_B)
6537#define PLANE_BUF_CFG(pipe, plane) \
f0f59a00 6538 _MMIO_PLANE(plane, _PLANE_BUF_CFG_1(pipe), _PLANE_BUF_CFG_2(pipe))
8211bd5b 6539
2cd601c6
CK
6540#define _PLANE_NV12_BUF_CFG_1_B 0x71278
6541#define _PLANE_NV12_BUF_CFG_2_B 0x71378
6542#define _PLANE_NV12_BUF_CFG_1(pipe) \
6543 _PIPE(pipe, _PLANE_NV12_BUF_CFG_1_A, _PLANE_NV12_BUF_CFG_1_B)
6544#define _PLANE_NV12_BUF_CFG_2(pipe) \
6545 _PIPE(pipe, _PLANE_NV12_BUF_CFG_2_A, _PLANE_NV12_BUF_CFG_2_B)
6546#define PLANE_NV12_BUF_CFG(pipe, plane) \
f0f59a00 6547 _MMIO_PLANE(plane, _PLANE_NV12_BUF_CFG_1(pipe), _PLANE_NV12_BUF_CFG_2(pipe))
2cd601c6 6548
2e2adb05
VS
6549#define _PLANE_AUX_DIST_1_B 0x711c0
6550#define _PLANE_AUX_DIST_2_B 0x712c0
6551#define _PLANE_AUX_DIST_1(pipe) \
6552 _PIPE(pipe, _PLANE_AUX_DIST_1_A, _PLANE_AUX_DIST_1_B)
6553#define _PLANE_AUX_DIST_2(pipe) \
6554 _PIPE(pipe, _PLANE_AUX_DIST_2_A, _PLANE_AUX_DIST_2_B)
6555#define PLANE_AUX_DIST(pipe, plane) \
6556 _MMIO_PLANE(plane, _PLANE_AUX_DIST_1(pipe), _PLANE_AUX_DIST_2(pipe))
6557
6558#define _PLANE_AUX_OFFSET_1_B 0x711c4
6559#define _PLANE_AUX_OFFSET_2_B 0x712c4
6560#define _PLANE_AUX_OFFSET_1(pipe) \
6561 _PIPE(pipe, _PLANE_AUX_OFFSET_1_A, _PLANE_AUX_OFFSET_1_B)
6562#define _PLANE_AUX_OFFSET_2(pipe) \
6563 _PIPE(pipe, _PLANE_AUX_OFFSET_2_A, _PLANE_AUX_OFFSET_2_B)
6564#define PLANE_AUX_OFFSET(pipe, plane) \
6565 _MMIO_PLANE(plane, _PLANE_AUX_OFFSET_1(pipe), _PLANE_AUX_OFFSET_2(pipe))
6566
47f9ea8b
ACO
6567#define _PLANE_COLOR_CTL_1_B 0x711CC
6568#define _PLANE_COLOR_CTL_2_B 0x712CC
6569#define _PLANE_COLOR_CTL_3_B 0x713CC
6570#define _PLANE_COLOR_CTL_1(pipe) \
6571 _PIPE(pipe, _PLANE_COLOR_CTL_1_A, _PLANE_COLOR_CTL_1_B)
6572#define _PLANE_COLOR_CTL_2(pipe) \
6573 _PIPE(pipe, _PLANE_COLOR_CTL_2_A, _PLANE_COLOR_CTL_2_B)
6574#define PLANE_COLOR_CTL(pipe, plane) \
6575 _MMIO_PLANE(plane, _PLANE_COLOR_CTL_1(pipe), _PLANE_COLOR_CTL_2(pipe))
6576
6577#/* SKL new cursor registers */
8211bd5b
DL
6578#define _CUR_BUF_CFG_A 0x7017c
6579#define _CUR_BUF_CFG_B 0x7117c
f0f59a00 6580#define CUR_BUF_CFG(pipe) _MMIO_PIPE(pipe, _CUR_BUF_CFG_A, _CUR_BUF_CFG_B)
8211bd5b 6581
585fb111 6582/* VBIOS regs */
f0f59a00 6583#define VGACNTRL _MMIO(0x71400)
585fb111
JB
6584# define VGA_DISP_DISABLE (1 << 31)
6585# define VGA_2X_MODE (1 << 30)
6586# define VGA_PIPE_B_SELECT (1 << 29)
6587
f0f59a00 6588#define VLV_VGACNTRL _MMIO(VLV_DISPLAY_BASE + 0x71400)
766aa1c4 6589
f2b115e6 6590/* Ironlake */
b9055052 6591
f0f59a00 6592#define CPU_VGACNTRL _MMIO(0x41000)
b9055052 6593
f0f59a00 6594#define DIGITAL_PORT_HOTPLUG_CNTRL _MMIO(0x44030)
40bfd7a3
VS
6595#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
6596#define DIGITAL_PORTA_PULSE_DURATION_2ms (0 << 2) /* pre-HSW */
6597#define DIGITAL_PORTA_PULSE_DURATION_4_5ms (1 << 2) /* pre-HSW */
6598#define DIGITAL_PORTA_PULSE_DURATION_6ms (2 << 2) /* pre-HSW */
6599#define DIGITAL_PORTA_PULSE_DURATION_100ms (3 << 2) /* pre-HSW */
6600#define DIGITAL_PORTA_PULSE_DURATION_MASK (3 << 2) /* pre-HSW */
6601#define DIGITAL_PORTA_HOTPLUG_STATUS_MASK (3 << 0)
6602#define DIGITAL_PORTA_HOTPLUG_NO_DETECT (0 << 0)
6603#define DIGITAL_PORTA_HOTPLUG_SHORT_DETECT (1 << 0)
6604#define DIGITAL_PORTA_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
6605
6606/* refresh rate hardware control */
f0f59a00 6607#define RR_HW_CTL _MMIO(0x45300)
b9055052
ZW
6608#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
6609#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
6610
f0f59a00 6611#define FDI_PLL_BIOS_0 _MMIO(0x46000)
021357ac 6612#define FDI_PLL_FB_CLOCK_MASK 0xff
f0f59a00
VS
6613#define FDI_PLL_BIOS_1 _MMIO(0x46004)
6614#define FDI_PLL_BIOS_2 _MMIO(0x46008)
6615#define DISPLAY_PORT_PLL_BIOS_0 _MMIO(0x4600c)
6616#define DISPLAY_PORT_PLL_BIOS_1 _MMIO(0x46010)
6617#define DISPLAY_PORT_PLL_BIOS_2 _MMIO(0x46014)
b9055052 6618
f0f59a00 6619#define PCH_3DCGDIS0 _MMIO(0x46020)
8956c8bb
EA
6620# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
6621# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
6622
f0f59a00 6623#define PCH_3DCGDIS1 _MMIO(0x46024)
06f37751
EA
6624# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
6625
f0f59a00 6626#define FDI_PLL_FREQ_CTL _MMIO(0x46030)
b9055052
ZW
6627#define FDI_PLL_FREQ_CHANGE_REQUEST (1<<24)
6628#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
6629#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
6630
6631
a57c774a 6632#define _PIPEA_DATA_M1 0x60030
5eddb70b 6633#define PIPE_DATA_M1_OFFSET 0
a57c774a 6634#define _PIPEA_DATA_N1 0x60034
5eddb70b 6635#define PIPE_DATA_N1_OFFSET 0
b9055052 6636
a57c774a 6637#define _PIPEA_DATA_M2 0x60038
5eddb70b 6638#define PIPE_DATA_M2_OFFSET 0
a57c774a 6639#define _PIPEA_DATA_N2 0x6003c
5eddb70b 6640#define PIPE_DATA_N2_OFFSET 0
b9055052 6641
a57c774a 6642#define _PIPEA_LINK_M1 0x60040
5eddb70b 6643#define PIPE_LINK_M1_OFFSET 0
a57c774a 6644#define _PIPEA_LINK_N1 0x60044
5eddb70b 6645#define PIPE_LINK_N1_OFFSET 0
b9055052 6646
a57c774a 6647#define _PIPEA_LINK_M2 0x60048
5eddb70b 6648#define PIPE_LINK_M2_OFFSET 0
a57c774a 6649#define _PIPEA_LINK_N2 0x6004c
5eddb70b 6650#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
6651
6652/* PIPEB timing regs are same start from 0x61000 */
6653
a57c774a
AK
6654#define _PIPEB_DATA_M1 0x61030
6655#define _PIPEB_DATA_N1 0x61034
6656#define _PIPEB_DATA_M2 0x61038
6657#define _PIPEB_DATA_N2 0x6103c
6658#define _PIPEB_LINK_M1 0x61040
6659#define _PIPEB_LINK_N1 0x61044
6660#define _PIPEB_LINK_M2 0x61048
6661#define _PIPEB_LINK_N2 0x6104c
6662
f0f59a00
VS
6663#define PIPE_DATA_M1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M1)
6664#define PIPE_DATA_N1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N1)
6665#define PIPE_DATA_M2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M2)
6666#define PIPE_DATA_N2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N2)
6667#define PIPE_LINK_M1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M1)
6668#define PIPE_LINK_N1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N1)
6669#define PIPE_LINK_M2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M2)
6670#define PIPE_LINK_N2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N2)
b9055052
ZW
6671
6672/* CPU panel fitter */
9db4a9c7
JB
6673/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
6674#define _PFA_CTL_1 0x68080
6675#define _PFB_CTL_1 0x68880
b9055052 6676#define PF_ENABLE (1<<31)
13888d78
PZ
6677#define PF_PIPE_SEL_MASK_IVB (3<<29)
6678#define PF_PIPE_SEL_IVB(pipe) ((pipe)<<29)
b1f60b70
ZW
6679#define PF_FILTER_MASK (3<<23)
6680#define PF_FILTER_PROGRAMMED (0<<23)
6681#define PF_FILTER_MED_3x3 (1<<23)
6682#define PF_FILTER_EDGE_ENHANCE (2<<23)
6683#define PF_FILTER_EDGE_SOFTEN (3<<23)
9db4a9c7
JB
6684#define _PFA_WIN_SZ 0x68074
6685#define _PFB_WIN_SZ 0x68874
6686#define _PFA_WIN_POS 0x68070
6687#define _PFB_WIN_POS 0x68870
6688#define _PFA_VSCALE 0x68084
6689#define _PFB_VSCALE 0x68884
6690#define _PFA_HSCALE 0x68090
6691#define _PFB_HSCALE 0x68890
6692
f0f59a00
VS
6693#define PF_CTL(pipe) _MMIO_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
6694#define PF_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
6695#define PF_WIN_POS(pipe) _MMIO_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
6696#define PF_VSCALE(pipe) _MMIO_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
6697#define PF_HSCALE(pipe) _MMIO_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052 6698
bd2e244f
JB
6699#define _PSA_CTL 0x68180
6700#define _PSB_CTL 0x68980
6701#define PS_ENABLE (1<<31)
6702#define _PSA_WIN_SZ 0x68174
6703#define _PSB_WIN_SZ 0x68974
6704#define _PSA_WIN_POS 0x68170
6705#define _PSB_WIN_POS 0x68970
6706
f0f59a00
VS
6707#define PS_CTL(pipe) _MMIO_PIPE(pipe, _PSA_CTL, _PSB_CTL)
6708#define PS_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PSA_WIN_SZ, _PSB_WIN_SZ)
6709#define PS_WIN_POS(pipe) _MMIO_PIPE(pipe, _PSA_WIN_POS, _PSB_WIN_POS)
bd2e244f 6710
1c9a2d4a
CK
6711/*
6712 * Skylake scalers
6713 */
6714#define _PS_1A_CTRL 0x68180
6715#define _PS_2A_CTRL 0x68280
6716#define _PS_1B_CTRL 0x68980
6717#define _PS_2B_CTRL 0x68A80
6718#define _PS_1C_CTRL 0x69180
6719#define PS_SCALER_EN (1 << 31)
6720#define PS_SCALER_MODE_MASK (3 << 28)
6721#define PS_SCALER_MODE_DYN (0 << 28)
6722#define PS_SCALER_MODE_HQ (1 << 28)
e6e1948c
CK
6723#define SKL_PS_SCALER_MODE_NV12 (2 << 28)
6724#define PS_SCALER_MODE_PLANAR (1 << 29)
1c9a2d4a 6725#define PS_PLANE_SEL_MASK (7 << 25)
68d97538 6726#define PS_PLANE_SEL(plane) (((plane) + 1) << 25)
1c9a2d4a
CK
6727#define PS_FILTER_MASK (3 << 23)
6728#define PS_FILTER_MEDIUM (0 << 23)
6729#define PS_FILTER_EDGE_ENHANCE (2 << 23)
6730#define PS_FILTER_BILINEAR (3 << 23)
6731#define PS_VERT3TAP (1 << 21)
6732#define PS_VERT_INT_INVERT_FIELD1 (0 << 20)
6733#define PS_VERT_INT_INVERT_FIELD0 (1 << 20)
6734#define PS_PWRUP_PROGRESS (1 << 17)
6735#define PS_V_FILTER_BYPASS (1 << 8)
6736#define PS_VADAPT_EN (1 << 7)
6737#define PS_VADAPT_MODE_MASK (3 << 5)
6738#define PS_VADAPT_MODE_LEAST_ADAPT (0 << 5)
6739#define PS_VADAPT_MODE_MOD_ADAPT (1 << 5)
6740#define PS_VADAPT_MODE_MOST_ADAPT (3 << 5)
6741
6742#define _PS_PWR_GATE_1A 0x68160
6743#define _PS_PWR_GATE_2A 0x68260
6744#define _PS_PWR_GATE_1B 0x68960
6745#define _PS_PWR_GATE_2B 0x68A60
6746#define _PS_PWR_GATE_1C 0x69160
6747#define PS_PWR_GATE_DIS_OVERRIDE (1 << 31)
6748#define PS_PWR_GATE_SETTLING_TIME_32 (0 << 3)
6749#define PS_PWR_GATE_SETTLING_TIME_64 (1 << 3)
6750#define PS_PWR_GATE_SETTLING_TIME_96 (2 << 3)
6751#define PS_PWR_GATE_SETTLING_TIME_128 (3 << 3)
6752#define PS_PWR_GATE_SLPEN_8 0
6753#define PS_PWR_GATE_SLPEN_16 1
6754#define PS_PWR_GATE_SLPEN_24 2
6755#define PS_PWR_GATE_SLPEN_32 3
6756
6757#define _PS_WIN_POS_1A 0x68170
6758#define _PS_WIN_POS_2A 0x68270
6759#define _PS_WIN_POS_1B 0x68970
6760#define _PS_WIN_POS_2B 0x68A70
6761#define _PS_WIN_POS_1C 0x69170
6762
6763#define _PS_WIN_SZ_1A 0x68174
6764#define _PS_WIN_SZ_2A 0x68274
6765#define _PS_WIN_SZ_1B 0x68974
6766#define _PS_WIN_SZ_2B 0x68A74
6767#define _PS_WIN_SZ_1C 0x69174
6768
6769#define _PS_VSCALE_1A 0x68184
6770#define _PS_VSCALE_2A 0x68284
6771#define _PS_VSCALE_1B 0x68984
6772#define _PS_VSCALE_2B 0x68A84
6773#define _PS_VSCALE_1C 0x69184
6774
6775#define _PS_HSCALE_1A 0x68190
6776#define _PS_HSCALE_2A 0x68290
6777#define _PS_HSCALE_1B 0x68990
6778#define _PS_HSCALE_2B 0x68A90
6779#define _PS_HSCALE_1C 0x69190
6780
6781#define _PS_VPHASE_1A 0x68188
6782#define _PS_VPHASE_2A 0x68288
6783#define _PS_VPHASE_1B 0x68988
6784#define _PS_VPHASE_2B 0x68A88
6785#define _PS_VPHASE_1C 0x69188
6786
6787#define _PS_HPHASE_1A 0x68194
6788#define _PS_HPHASE_2A 0x68294
6789#define _PS_HPHASE_1B 0x68994
6790#define _PS_HPHASE_2B 0x68A94
6791#define _PS_HPHASE_1C 0x69194
6792
6793#define _PS_ECC_STAT_1A 0x681D0
6794#define _PS_ECC_STAT_2A 0x682D0
6795#define _PS_ECC_STAT_1B 0x689D0
6796#define _PS_ECC_STAT_2B 0x68AD0
6797#define _PS_ECC_STAT_1C 0x691D0
6798
6799#define _ID(id, a, b) ((a) + (id)*((b)-(a)))
f0f59a00 6800#define SKL_PS_CTRL(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6801 _ID(id, _PS_1A_CTRL, _PS_2A_CTRL), \
6802 _ID(id, _PS_1B_CTRL, _PS_2B_CTRL))
f0f59a00 6803#define SKL_PS_PWR_GATE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6804 _ID(id, _PS_PWR_GATE_1A, _PS_PWR_GATE_2A), \
6805 _ID(id, _PS_PWR_GATE_1B, _PS_PWR_GATE_2B))
f0f59a00 6806#define SKL_PS_WIN_POS(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6807 _ID(id, _PS_WIN_POS_1A, _PS_WIN_POS_2A), \
6808 _ID(id, _PS_WIN_POS_1B, _PS_WIN_POS_2B))
f0f59a00 6809#define SKL_PS_WIN_SZ(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6810 _ID(id, _PS_WIN_SZ_1A, _PS_WIN_SZ_2A), \
6811 _ID(id, _PS_WIN_SZ_1B, _PS_WIN_SZ_2B))
f0f59a00 6812#define SKL_PS_VSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6813 _ID(id, _PS_VSCALE_1A, _PS_VSCALE_2A), \
6814 _ID(id, _PS_VSCALE_1B, _PS_VSCALE_2B))
f0f59a00 6815#define SKL_PS_HSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6816 _ID(id, _PS_HSCALE_1A, _PS_HSCALE_2A), \
6817 _ID(id, _PS_HSCALE_1B, _PS_HSCALE_2B))
f0f59a00 6818#define SKL_PS_VPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6819 _ID(id, _PS_VPHASE_1A, _PS_VPHASE_2A), \
6820 _ID(id, _PS_VPHASE_1B, _PS_VPHASE_2B))
f0f59a00 6821#define SKL_PS_HPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6822 _ID(id, _PS_HPHASE_1A, _PS_HPHASE_2A), \
6823 _ID(id, _PS_HPHASE_1B, _PS_HPHASE_2B))
f0f59a00 6824#define SKL_PS_ECC_STAT(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a 6825 _ID(id, _PS_ECC_STAT_1A, _PS_ECC_STAT_2A), \
9bca5d0c 6826 _ID(id, _PS_ECC_STAT_1B, _PS_ECC_STAT_2B))
1c9a2d4a 6827
b9055052 6828/* legacy palette */
9db4a9c7
JB
6829#define _LGC_PALETTE_A 0x4a000
6830#define _LGC_PALETTE_B 0x4a800
f0f59a00 6831#define LGC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B) + (i) * 4)
b9055052 6832
42db64ef
PZ
6833#define _GAMMA_MODE_A 0x4a480
6834#define _GAMMA_MODE_B 0x4ac80
f0f59a00 6835#define GAMMA_MODE(pipe) _MMIO_PIPE(pipe, _GAMMA_MODE_A, _GAMMA_MODE_B)
42db64ef 6836#define GAMMA_MODE_MODE_MASK (3 << 0)
3eff4faa
DV
6837#define GAMMA_MODE_MODE_8BIT (0 << 0)
6838#define GAMMA_MODE_MODE_10BIT (1 << 0)
6839#define GAMMA_MODE_MODE_12BIT (2 << 0)
42db64ef
PZ
6840#define GAMMA_MODE_MODE_SPLIT (3 << 0)
6841
8337206d 6842/* DMC/CSR */
f0f59a00 6843#define CSR_PROGRAM(i) _MMIO(0x80000 + (i) * 4)
6fb403de
MK
6844#define CSR_SSP_BASE_ADDR_GEN9 0x00002FC0
6845#define CSR_HTP_ADDR_SKL 0x00500034
f0f59a00
VS
6846#define CSR_SSP_BASE _MMIO(0x8F074)
6847#define CSR_HTP_SKL _MMIO(0x8F004)
6848#define CSR_LAST_WRITE _MMIO(0x8F034)
6fb403de
MK
6849#define CSR_LAST_WRITE_VALUE 0xc003b400
6850/* MMIO address range for CSR program (0x80000 - 0x82FFF) */
6851#define CSR_MMIO_START_RANGE 0x80000
6852#define CSR_MMIO_END_RANGE 0x8FFFF
f0f59a00
VS
6853#define SKL_CSR_DC3_DC5_COUNT _MMIO(0x80030)
6854#define SKL_CSR_DC5_DC6_COUNT _MMIO(0x8002C)
6855#define BXT_CSR_DC3_DC5_COUNT _MMIO(0x80038)
8337206d 6856
b9055052
ZW
6857/* interrupts */
6858#define DE_MASTER_IRQ_CONTROL (1 << 31)
6859#define DE_SPRITEB_FLIP_DONE (1 << 29)
6860#define DE_SPRITEA_FLIP_DONE (1 << 28)
6861#define DE_PLANEB_FLIP_DONE (1 << 27)
6862#define DE_PLANEA_FLIP_DONE (1 << 26)
40da17c2 6863#define DE_PLANE_FLIP_DONE(plane) (1 << (26 + (plane)))
b9055052
ZW
6864#define DE_PCU_EVENT (1 << 25)
6865#define DE_GTT_FAULT (1 << 24)
6866#define DE_POISON (1 << 23)
6867#define DE_PERFORM_COUNTER (1 << 22)
6868#define DE_PCH_EVENT (1 << 21)
6869#define DE_AUX_CHANNEL_A (1 << 20)
6870#define DE_DP_A_HOTPLUG (1 << 19)
6871#define DE_GSE (1 << 18)
6872#define DE_PIPEB_VBLANK (1 << 15)
6873#define DE_PIPEB_EVEN_FIELD (1 << 14)
6874#define DE_PIPEB_ODD_FIELD (1 << 13)
6875#define DE_PIPEB_LINE_COMPARE (1 << 12)
6876#define DE_PIPEB_VSYNC (1 << 11)
5b3a856b 6877#define DE_PIPEB_CRC_DONE (1 << 10)
b9055052
ZW
6878#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
6879#define DE_PIPEA_VBLANK (1 << 7)
40da17c2 6880#define DE_PIPE_VBLANK(pipe) (1 << (7 + 8*(pipe)))
b9055052
ZW
6881#define DE_PIPEA_EVEN_FIELD (1 << 6)
6882#define DE_PIPEA_ODD_FIELD (1 << 5)
6883#define DE_PIPEA_LINE_COMPARE (1 << 4)
6884#define DE_PIPEA_VSYNC (1 << 3)
5b3a856b 6885#define DE_PIPEA_CRC_DONE (1 << 2)
40da17c2 6886#define DE_PIPE_CRC_DONE(pipe) (1 << (2 + 8*(pipe)))
b9055052 6887#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
40da17c2 6888#define DE_PIPE_FIFO_UNDERRUN(pipe) (1 << (8*(pipe)))
b9055052 6889
b1f14ad0 6890/* More Ivybridge lolz */
8664281b 6891#define DE_ERR_INT_IVB (1<<30)
b1f14ad0
JB
6892#define DE_GSE_IVB (1<<29)
6893#define DE_PCH_EVENT_IVB (1<<28)
6894#define DE_DP_A_HOTPLUG_IVB (1<<27)
6895#define DE_AUX_CHANNEL_A_IVB (1<<26)
fc340442 6896#define DE_EDP_PSR_INT_HSW (1<<19)
b615b57a
CW
6897#define DE_SPRITEC_FLIP_DONE_IVB (1<<14)
6898#define DE_PLANEC_FLIP_DONE_IVB (1<<13)
6899#define DE_PIPEC_VBLANK_IVB (1<<10)
b1f14ad0 6900#define DE_SPRITEB_FLIP_DONE_IVB (1<<9)
b1f14ad0 6901#define DE_PLANEB_FLIP_DONE_IVB (1<<8)
b1f14ad0 6902#define DE_PIPEB_VBLANK_IVB (1<<5)
b615b57a
CW
6903#define DE_SPRITEA_FLIP_DONE_IVB (1<<4)
6904#define DE_PLANEA_FLIP_DONE_IVB (1<<3)
40da17c2 6905#define DE_PLANE_FLIP_DONE_IVB(plane) (1<< (3 + 5*(plane)))
b1f14ad0 6906#define DE_PIPEA_VBLANK_IVB (1<<0)
68d97538 6907#define DE_PIPE_VBLANK_IVB(pipe) (1 << ((pipe) * 5))
b518421f 6908
f0f59a00 6909#define VLV_MASTER_IER _MMIO(0x4400c) /* Gunit master IER */
7eea1ddf
JB
6910#define MASTER_INTERRUPT_ENABLE (1<<31)
6911
f0f59a00
VS
6912#define DEISR _MMIO(0x44000)
6913#define DEIMR _MMIO(0x44004)
6914#define DEIIR _MMIO(0x44008)
6915#define DEIER _MMIO(0x4400c)
b9055052 6916
f0f59a00
VS
6917#define GTISR _MMIO(0x44010)
6918#define GTIMR _MMIO(0x44014)
6919#define GTIIR _MMIO(0x44018)
6920#define GTIER _MMIO(0x4401c)
b9055052 6921
f0f59a00 6922#define GEN8_MASTER_IRQ _MMIO(0x44200)
abd58f01
BW
6923#define GEN8_MASTER_IRQ_CONTROL (1<<31)
6924#define GEN8_PCU_IRQ (1<<30)
6925#define GEN8_DE_PCH_IRQ (1<<23)
6926#define GEN8_DE_MISC_IRQ (1<<22)
6927#define GEN8_DE_PORT_IRQ (1<<20)
6928#define GEN8_DE_PIPE_C_IRQ (1<<18)
6929#define GEN8_DE_PIPE_B_IRQ (1<<17)
6930#define GEN8_DE_PIPE_A_IRQ (1<<16)
68d97538 6931#define GEN8_DE_PIPE_IRQ(pipe) (1<<(16+(pipe)))
abd58f01 6932#define GEN8_GT_VECS_IRQ (1<<6)
26705e20 6933#define GEN8_GT_GUC_IRQ (1<<5)
0961021a 6934#define GEN8_GT_PM_IRQ (1<<4)
abd58f01
BW
6935#define GEN8_GT_VCS2_IRQ (1<<3)
6936#define GEN8_GT_VCS1_IRQ (1<<2)
6937#define GEN8_GT_BCS_IRQ (1<<1)
6938#define GEN8_GT_RCS_IRQ (1<<0)
abd58f01 6939
f0f59a00
VS
6940#define GEN8_GT_ISR(which) _MMIO(0x44300 + (0x10 * (which)))
6941#define GEN8_GT_IMR(which) _MMIO(0x44304 + (0x10 * (which)))
6942#define GEN8_GT_IIR(which) _MMIO(0x44308 + (0x10 * (which)))
6943#define GEN8_GT_IER(which) _MMIO(0x4430c + (0x10 * (which)))
abd58f01 6944
26705e20
SAK
6945#define GEN9_GUC_TO_HOST_INT_EVENT (1<<31)
6946#define GEN9_GUC_EXEC_ERROR_EVENT (1<<30)
6947#define GEN9_GUC_DISPLAY_EVENT (1<<29)
6948#define GEN9_GUC_SEMA_SIGNAL_EVENT (1<<28)
6949#define GEN9_GUC_IOMMU_MSG_EVENT (1<<27)
6950#define GEN9_GUC_DB_RING_EVENT (1<<26)
6951#define GEN9_GUC_DMA_DONE_EVENT (1<<25)
6952#define GEN9_GUC_FATAL_ERROR_EVENT (1<<24)
6953#define GEN9_GUC_NOTIFICATION_EVENT (1<<23)
6954
abd58f01 6955#define GEN8_RCS_IRQ_SHIFT 0
4df001d3 6956#define GEN8_BCS_IRQ_SHIFT 16
abd58f01 6957#define GEN8_VCS1_IRQ_SHIFT 0
4df001d3 6958#define GEN8_VCS2_IRQ_SHIFT 16
abd58f01 6959#define GEN8_VECS_IRQ_SHIFT 0
4df001d3 6960#define GEN8_WD_IRQ_SHIFT 16
abd58f01 6961
f0f59a00
VS
6962#define GEN8_DE_PIPE_ISR(pipe) _MMIO(0x44400 + (0x10 * (pipe)))
6963#define GEN8_DE_PIPE_IMR(pipe) _MMIO(0x44404 + (0x10 * (pipe)))
6964#define GEN8_DE_PIPE_IIR(pipe) _MMIO(0x44408 + (0x10 * (pipe)))
6965#define GEN8_DE_PIPE_IER(pipe) _MMIO(0x4440c + (0x10 * (pipe)))
38d83c96 6966#define GEN8_PIPE_FIFO_UNDERRUN (1 << 31)
abd58f01
BW
6967#define GEN8_PIPE_CDCLK_CRC_ERROR (1 << 29)
6968#define GEN8_PIPE_CDCLK_CRC_DONE (1 << 28)
6969#define GEN8_PIPE_CURSOR_FAULT (1 << 10)
6970#define GEN8_PIPE_SPRITE_FAULT (1 << 9)
6971#define GEN8_PIPE_PRIMARY_FAULT (1 << 8)
6972#define GEN8_PIPE_SPRITE_FLIP_DONE (1 << 5)
d0e1f1cb 6973#define GEN8_PIPE_PRIMARY_FLIP_DONE (1 << 4)
abd58f01
BW
6974#define GEN8_PIPE_SCAN_LINE_EVENT (1 << 2)
6975#define GEN8_PIPE_VSYNC (1 << 1)
6976#define GEN8_PIPE_VBLANK (1 << 0)
770de83d 6977#define GEN9_PIPE_CURSOR_FAULT (1 << 11)
b21249c9 6978#define GEN9_PIPE_PLANE4_FAULT (1 << 10)
770de83d
DL
6979#define GEN9_PIPE_PLANE3_FAULT (1 << 9)
6980#define GEN9_PIPE_PLANE2_FAULT (1 << 8)
6981#define GEN9_PIPE_PLANE1_FAULT (1 << 7)
b21249c9 6982#define GEN9_PIPE_PLANE4_FLIP_DONE (1 << 6)
770de83d
DL
6983#define GEN9_PIPE_PLANE3_FLIP_DONE (1 << 5)
6984#define GEN9_PIPE_PLANE2_FLIP_DONE (1 << 4)
6985#define GEN9_PIPE_PLANE1_FLIP_DONE (1 << 3)
68d97538 6986#define GEN9_PIPE_PLANE_FLIP_DONE(p) (1 << (3 + (p)))
30100f2b
DV
6987#define GEN8_DE_PIPE_IRQ_FAULT_ERRORS \
6988 (GEN8_PIPE_CURSOR_FAULT | \
6989 GEN8_PIPE_SPRITE_FAULT | \
6990 GEN8_PIPE_PRIMARY_FAULT)
770de83d
DL
6991#define GEN9_DE_PIPE_IRQ_FAULT_ERRORS \
6992 (GEN9_PIPE_CURSOR_FAULT | \
b21249c9 6993 GEN9_PIPE_PLANE4_FAULT | \
770de83d
DL
6994 GEN9_PIPE_PLANE3_FAULT | \
6995 GEN9_PIPE_PLANE2_FAULT | \
6996 GEN9_PIPE_PLANE1_FAULT)
abd58f01 6997
f0f59a00
VS
6998#define GEN8_DE_PORT_ISR _MMIO(0x44440)
6999#define GEN8_DE_PORT_IMR _MMIO(0x44444)
7000#define GEN8_DE_PORT_IIR _MMIO(0x44448)
7001#define GEN8_DE_PORT_IER _MMIO(0x4444c)
a324fcac 7002#define CNL_AUX_CHANNEL_F (1 << 28)
88e04703
JB
7003#define GEN9_AUX_CHANNEL_D (1 << 27)
7004#define GEN9_AUX_CHANNEL_C (1 << 26)
7005#define GEN9_AUX_CHANNEL_B (1 << 25)
e0a20ad7
SS
7006#define BXT_DE_PORT_HP_DDIC (1 << 5)
7007#define BXT_DE_PORT_HP_DDIB (1 << 4)
7008#define BXT_DE_PORT_HP_DDIA (1 << 3)
7009#define BXT_DE_PORT_HOTPLUG_MASK (BXT_DE_PORT_HP_DDIA | \
7010 BXT_DE_PORT_HP_DDIB | \
7011 BXT_DE_PORT_HP_DDIC)
7012#define GEN8_PORT_DP_A_HOTPLUG (1 << 3)
9e63743e 7013#define BXT_DE_PORT_GMBUS (1 << 1)
6d766f02 7014#define GEN8_AUX_CHANNEL_A (1 << 0)
abd58f01 7015
f0f59a00
VS
7016#define GEN8_DE_MISC_ISR _MMIO(0x44460)
7017#define GEN8_DE_MISC_IMR _MMIO(0x44464)
7018#define GEN8_DE_MISC_IIR _MMIO(0x44468)
7019#define GEN8_DE_MISC_IER _MMIO(0x4446c)
abd58f01 7020#define GEN8_DE_MISC_GSE (1 << 27)
e04f7ece 7021#define GEN8_DE_EDP_PSR (1 << 19)
abd58f01 7022
f0f59a00
VS
7023#define GEN8_PCU_ISR _MMIO(0x444e0)
7024#define GEN8_PCU_IMR _MMIO(0x444e4)
7025#define GEN8_PCU_IIR _MMIO(0x444e8)
7026#define GEN8_PCU_IER _MMIO(0x444ec)
abd58f01 7027
a6358dda
TU
7028#define GEN11_GFX_MSTR_IRQ _MMIO(0x190010)
7029#define GEN11_MASTER_IRQ (1 << 31)
7030#define GEN11_PCU_IRQ (1 << 30)
7031#define GEN11_DISPLAY_IRQ (1 << 16)
7032#define GEN11_GT_DW_IRQ(x) (1 << (x))
7033#define GEN11_GT_DW1_IRQ (1 << 1)
7034#define GEN11_GT_DW0_IRQ (1 << 0)
7035
7036#define GEN11_DISPLAY_INT_CTL _MMIO(0x44200)
7037#define GEN11_DISPLAY_IRQ_ENABLE (1 << 31)
7038#define GEN11_AUDIO_CODEC_IRQ (1 << 24)
7039#define GEN11_DE_PCH_IRQ (1 << 23)
7040#define GEN11_DE_MISC_IRQ (1 << 22)
7041#define GEN11_DE_PORT_IRQ (1 << 20)
7042#define GEN11_DE_PIPE_C (1 << 18)
7043#define GEN11_DE_PIPE_B (1 << 17)
7044#define GEN11_DE_PIPE_A (1 << 16)
7045
7046#define GEN11_GT_INTR_DW0 _MMIO(0x190018)
7047#define GEN11_CSME (31)
7048#define GEN11_GUNIT (28)
7049#define GEN11_GUC (25)
7050#define GEN11_WDPERF (20)
7051#define GEN11_KCR (19)
7052#define GEN11_GTPM (16)
7053#define GEN11_BCS (15)
7054#define GEN11_RCS0 (0)
7055
7056#define GEN11_GT_INTR_DW1 _MMIO(0x19001c)
7057#define GEN11_VECS(x) (31 - (x))
7058#define GEN11_VCS(x) (x)
7059
7060#define GEN11_GT_INTR_DW(x) _MMIO(0x190018 + (x * 4))
7061
7062#define GEN11_INTR_IDENTITY_REG0 _MMIO(0x190060)
7063#define GEN11_INTR_IDENTITY_REG1 _MMIO(0x190064)
7064#define GEN11_INTR_DATA_VALID (1 << 31)
f744dbc2
MK
7065#define GEN11_INTR_ENGINE_CLASS(x) (((x) & GENMASK(18, 16)) >> 16)
7066#define GEN11_INTR_ENGINE_INSTANCE(x) (((x) & GENMASK(25, 20)) >> 20)
7067#define GEN11_INTR_ENGINE_INTR(x) ((x) & 0xffff)
a6358dda
TU
7068
7069#define GEN11_INTR_IDENTITY_REG(x) _MMIO(0x190060 + (x * 4))
7070
7071#define GEN11_IIR_REG0_SELECTOR _MMIO(0x190070)
7072#define GEN11_IIR_REG1_SELECTOR _MMIO(0x190074)
7073
7074#define GEN11_IIR_REG_SELECTOR(x) _MMIO(0x190070 + (x * 4))
7075
7076#define GEN11_RENDER_COPY_INTR_ENABLE _MMIO(0x190030)
7077#define GEN11_VCS_VECS_INTR_ENABLE _MMIO(0x190034)
7078#define GEN11_GUC_SG_INTR_ENABLE _MMIO(0x190038)
7079#define GEN11_GPM_WGBOXPERF_INTR_ENABLE _MMIO(0x19003c)
7080#define GEN11_CRYPTO_RSVD_INTR_ENABLE _MMIO(0x190040)
7081#define GEN11_GUNIT_CSME_INTR_ENABLE _MMIO(0x190044)
7082
7083#define GEN11_RCS0_RSVD_INTR_MASK _MMIO(0x190090)
7084#define GEN11_BCS_RSVD_INTR_MASK _MMIO(0x1900a0)
7085#define GEN11_VCS0_VCS1_INTR_MASK _MMIO(0x1900a8)
7086#define GEN11_VCS2_VCS3_INTR_MASK _MMIO(0x1900ac)
7087#define GEN11_VECS0_VECS1_INTR_MASK _MMIO(0x1900d0)
7088#define GEN11_GUC_SG_INTR_MASK _MMIO(0x1900e8)
7089#define GEN11_GPM_WGBOXPERF_INTR_MASK _MMIO(0x1900ec)
7090#define GEN11_CRYPTO_RSVD_INTR_MASK _MMIO(0x1900f0)
7091#define GEN11_GUNIT_CSME_INTR_MASK _MMIO(0x1900f4)
7092
f0f59a00 7093#define ILK_DISPLAY_CHICKEN2 _MMIO(0x42004)
67e92af0
EA
7094/* Required on all Ironlake and Sandybridge according to the B-Spec. */
7095#define ILK_ELPIN_409_SELECT (1 << 25)
7f8a8569
ZW
7096#define ILK_DPARB_GATE (1<<22)
7097#define ILK_VSDPFD_FULL (1<<21)
f0f59a00 7098#define FUSE_STRAP _MMIO(0x42014)
e3589908
DL
7099#define ILK_INTERNAL_GRAPHICS_DISABLE (1 << 31)
7100#define ILK_INTERNAL_DISPLAY_DISABLE (1 << 30)
7101#define ILK_DISPLAY_DEBUG_DISABLE (1 << 29)
8c448cad 7102#define IVB_PIPE_C_DISABLE (1 << 28)
e3589908
DL
7103#define ILK_HDCP_DISABLE (1 << 25)
7104#define ILK_eDP_A_DISABLE (1 << 24)
7105#define HSW_CDCLK_LIMIT (1 << 24)
7106#define ILK_DESKTOP (1 << 23)
231e54f6 7107
f0f59a00 7108#define ILK_DSPCLK_GATE_D _MMIO(0x42020)
231e54f6
DL
7109#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
7110#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
7111#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
7112#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
7113#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 7114
f0f59a00 7115#define IVB_CHICKEN3 _MMIO(0x4200c)
116ac8d2
EA
7116# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
7117# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
7118
f0f59a00 7119#define CHICKEN_PAR1_1 _MMIO(0x42080)
93564044 7120#define SKL_DE_COMPRESSED_HASH_MODE (1 << 15)
fe4ab3ce 7121#define DPA_MASK_VBLANK_SRD (1 << 15)
90a88643 7122#define FORCE_ARB_IDLE_PLANES (1 << 14)
dc00b6a0 7123#define SKL_EDP_PSR_FIX_RDWRAP (1 << 3)
90a88643 7124
17e0adf0
MK
7125#define CHICKEN_PAR2_1 _MMIO(0x42090)
7126#define KVM_CONFIG_CHANGE_NOTIFICATION_SELECT (1 << 14)
7127
f4f4b59b 7128#define CHICKEN_MISC_2 _MMIO(0x42084)
746a5173 7129#define CNL_COMP_PWR_DOWN (1 << 23)
f4f4b59b 7130#define GLK_CL2_PWR_DOWN (1 << 12)
746a5173
PZ
7131#define GLK_CL1_PWR_DOWN (1 << 11)
7132#define GLK_CL0_PWR_DOWN (1 << 10)
d8d4a512 7133
5654a162
PP
7134#define CHICKEN_MISC_4 _MMIO(0x4208c)
7135#define FBC_STRIDE_OVERRIDE (1 << 13)
7136#define FBC_STRIDE_MASK 0x1FFF
7137
fe4ab3ce
BW
7138#define _CHICKEN_PIPESL_1_A 0x420b0
7139#define _CHICKEN_PIPESL_1_B 0x420b4
8f670bb1
VS
7140#define HSW_FBCQ_DIS (1 << 22)
7141#define BDW_DPRS_MASK_VBLANK_SRD (1 << 0)
f0f59a00 7142#define CHICKEN_PIPESL_1(pipe) _MMIO_PIPE(pipe, _CHICKEN_PIPESL_1_A, _CHICKEN_PIPESL_1_B)
fe4ab3ce 7143
d86f0482
NV
7144#define CHICKEN_TRANS_A 0x420c0
7145#define CHICKEN_TRANS_B 0x420c4
7146#define CHICKEN_TRANS(trans) _MMIO_TRANS(trans, CHICKEN_TRANS_A, CHICKEN_TRANS_B)
5e87325f 7147#define VSC_DATA_SEL_SOFTWARE_CONTROL (1<<25) /* GLK and CNL+ */
0519c102
VS
7148#define DDI_TRAINING_OVERRIDE_ENABLE (1<<19)
7149#define DDI_TRAINING_OVERRIDE_VALUE (1<<18)
7150#define DDIE_TRAINING_OVERRIDE_ENABLE (1<<17) /* CHICKEN_TRANS_A only */
7151#define DDIE_TRAINING_OVERRIDE_VALUE (1<<16) /* CHICKEN_TRANS_A only */
7152#define PSR2_ADD_VERTICAL_LINE_COUNT (1<<15)
7153#define PSR2_VSC_ENABLE_PROG_HEADER (1<<12)
d86f0482 7154
f0f59a00 7155#define DISP_ARB_CTL _MMIO(0x45000)
303d4ea5 7156#define DISP_FBC_MEMORY_WAKE (1<<31)
553bd149 7157#define DISP_TILE_SURFACE_SWIZZLING (1<<13)
7f8a8569 7158#define DISP_FBC_WM_DIS (1<<15)
f0f59a00 7159#define DISP_ARB_CTL2 _MMIO(0x45004)
ac9545fd 7160#define DISP_DATA_PARTITION_5_6 (1<<6)
2503a0fe 7161#define DISP_IPC_ENABLE (1<<3)
f0f59a00 7162#define DBUF_CTL _MMIO(0x45008)
746edf8f
MK
7163#define DBUF_CTL_S1 _MMIO(0x45008)
7164#define DBUF_CTL_S2 _MMIO(0x44FE8)
f8437dd1
VK
7165#define DBUF_POWER_REQUEST (1<<31)
7166#define DBUF_POWER_STATE (1<<30)
f0f59a00 7167#define GEN7_MSG_CTL _MMIO(0x45010)
88a2b2a3
BW
7168#define WAIT_FOR_PCH_RESET_ACK (1<<1)
7169#define WAIT_FOR_PCH_FLR_ACK (1<<0)
f0f59a00 7170#define HSW_NDE_RSTWRN_OPT _MMIO(0x46408)
6ba844b0 7171#define RESET_PCH_HANDSHAKE_ENABLE (1<<4)
553bd149 7172
590e8ff0 7173#define GEN8_CHICKEN_DCPR_1 _MMIO(0x46430)
ad186f3f
PZ
7174#define SKL_SELECT_ALTERNATE_DC_EXIT (1 << 30)
7175#define MASK_WAKEMEM (1 << 13)
7176#define CNL_DDI_CLOCK_REG_ACCESS_ON (1 << 7)
590e8ff0 7177
f0f59a00 7178#define SKL_DFSM _MMIO(0x51000)
a9419e84
DL
7179#define SKL_DFSM_CDCLK_LIMIT_MASK (3 << 23)
7180#define SKL_DFSM_CDCLK_LIMIT_675 (0 << 23)
7181#define SKL_DFSM_CDCLK_LIMIT_540 (1 << 23)
7182#define SKL_DFSM_CDCLK_LIMIT_450 (2 << 23)
7183#define SKL_DFSM_CDCLK_LIMIT_337_5 (3 << 23)
bf4f2fb0
PJ
7184#define SKL_DFSM_PIPE_A_DISABLE (1 << 30)
7185#define SKL_DFSM_PIPE_B_DISABLE (1 << 21)
7186#define SKL_DFSM_PIPE_C_DISABLE (1 << 28)
a9419e84 7187
186a277e
PZ
7188#define SKL_DSSM _MMIO(0x51004)
7189#define CNL_DSSM_CDCLK_PLL_REFCLK_24MHz (1 << 31)
7190#define ICL_DSSM_CDCLK_PLL_REFCLK_MASK (7 << 29)
7191#define ICL_DSSM_CDCLK_PLL_REFCLK_24MHz (0 << 29)
7192#define ICL_DSSM_CDCLK_PLL_REFCLK_19_2MHz (1 << 29)
7193#define ICL_DSSM_CDCLK_PLL_REFCLK_38_4MHz (2 << 29)
945f2672 7194
a78536e7
AS
7195#define GEN7_FF_SLICE_CS_CHICKEN1 _MMIO(0x20e0)
7196#define GEN9_FFSC_PERCTX_PREEMPT_CTRL (1<<14)
7197
f0f59a00 7198#define FF_SLICE_CS_CHICKEN2 _MMIO(0x20e4)
2caa3b26 7199#define GEN9_TSG_BARRIER_ACK_DISABLE (1<<8)
780f0aeb 7200#define GEN9_POOLED_EU_LOAD_BALANCING_FIX_DISABLE (1<<10)
2caa3b26 7201
2c8580e4 7202#define GEN9_CS_DEBUG_MODE1 _MMIO(0x20ec)
6bb62855 7203#define GEN9_CTX_PREEMPT_REG _MMIO(0x2248)
e0f3fa09 7204#define GEN8_CS_CHICKEN1 _MMIO(0x2580)
5152defe
MW
7205#define GEN9_PREEMPT_3D_OBJECT_LEVEL (1<<0)
7206#define GEN9_PREEMPT_GPGPU_LEVEL(hi, lo) (((hi) << 2) | ((lo) << 1))
7207#define GEN9_PREEMPT_GPGPU_MID_THREAD_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 0)
7208#define GEN9_PREEMPT_GPGPU_THREAD_GROUP_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 1)
7209#define GEN9_PREEMPT_GPGPU_COMMAND_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(1, 0)
7210#define GEN9_PREEMPT_GPGPU_LEVEL_MASK GEN9_PREEMPT_GPGPU_LEVEL(1, 1)
e0f3fa09 7211
e4e0c058 7212/* GEN7 chicken */
f0f59a00 7213#define GEN7_COMMON_SLICE_CHICKEN1 _MMIO(0x7010)
b1f88820
OM
7214 #define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1 << 10) | (1 << 26))
7215 #define GEN9_RHWO_OPTIMIZATION_DISABLE (1 << 14)
7216
7217#define COMMON_SLICE_CHICKEN2 _MMIO(0x7014)
7218 #define GEN9_PBE_COMPRESSED_HASH_SELECTION (1 << 13)
7219 #define GEN9_DISABLE_GATHER_AT_SET_SHADER_COMMON_SLICE (1 << 12)
7220 #define GEN8_SBE_DISABLE_REPLAY_BUF_OPTIMIZATION (1 << 8)
7221 #define GEN8_CSC2_SBE_VUE_CACHE_CONSERVATIVE (1 << 0)
7222
7223#define GEN11_COMMON_SLICE_CHICKEN3 _MMIO(0x7304)
7224 #define GEN11_BLEND_EMB_FIX_DISABLE_IN_RCC (1 << 11)
d71de14d 7225
f0f59a00 7226#define HIZ_CHICKEN _MMIO(0x7018)
d0bbbc4f
DL
7227# define CHV_HZ_8X8_MODE_IN_1X (1<<15)
7228# define BDW_HIZ_POWER_COMPILER_CLOCK_GATING_DISABLE (1<<3)
d60de81d 7229
f0f59a00 7230#define GEN9_SLICE_COMMON_ECO_CHICKEN0 _MMIO(0x7308)
183c6dac
DL
7231#define DISABLE_PIXEL_MASK_CAMMING (1<<14)
7232
ab062639 7233#define GEN9_SLICE_COMMON_ECO_CHICKEN1 _MMIO(0x731c)
f63c7b48 7234#define GEN11_STATE_CACHE_REDIRECT_TO_CS (1 << 11)
ab062639 7235
f0f59a00 7236#define GEN7_L3SQCREG1 _MMIO(0xB010)
031994ee
VS
7237#define VLV_B0_WA_L3SQCREG1_VALUE 0x00D30000
7238
f0f59a00 7239#define GEN8_L3SQCREG1 _MMIO(0xB100)
450174fe
ID
7240/*
7241 * Note that on CHV the following has an off-by-one error wrt. to BSpec.
7242 * Using the formula in BSpec leads to a hang, while the formula here works
7243 * fine and matches the formulas for all other platforms. A BSpec change
7244 * request has been filed to clarify this.
7245 */
36579cb6
ID
7246#define L3_GENERAL_PRIO_CREDITS(x) (((x) >> 1) << 19)
7247#define L3_HIGH_PRIO_CREDITS(x) (((x) >> 1) << 14)
930a784d 7248#define L3_PRIO_CREDITS_MASK ((0x1f << 19) | (0x1f << 14))
51ce4db1 7249
f0f59a00 7250#define GEN7_L3CNTLREG1 _MMIO(0xB01C)
1af8452f 7251#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C47FF8C
d0cf5ead 7252#define GEN7_L3AGDIS (1<<19)
f0f59a00
VS
7253#define GEN7_L3CNTLREG2 _MMIO(0xB020)
7254#define GEN7_L3CNTLREG3 _MMIO(0xB024)
e4e0c058 7255
f0f59a00 7256#define GEN7_L3_CHICKEN_MODE_REGISTER _MMIO(0xB030)
5215eef3
OM
7257#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
7258#define GEN10_L3_CHICKEN_MODE_REGISTER _MMIO(0xB114)
7259#define GEN11_I2M_WRITE_DISABLE (1 << 28)
e4e0c058 7260
f0f59a00 7261#define GEN7_L3SQCREG4 _MMIO(0xb034)
61939d97
JB
7262#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1<<27)
7263
f0f59a00 7264#define GEN8_L3SQCREG4 _MMIO(0xb118)
5246ae4b
OM
7265#define GEN11_LQSC_CLEAN_EVICT_DISABLE (1 << 6)
7266#define GEN8_LQSC_RO_PERF_DIS (1 << 27)
7267#define GEN8_LQSC_FLUSH_COHERENT_LINES (1 << 21)
8bc0ccf6 7268
63801f21 7269/* GEN8 chicken */
f0f59a00 7270#define HDC_CHICKEN0 _MMIO(0x7300)
acfb5554 7271#define CNL_HDC_CHICKEN0 _MMIO(0xE5F0)
cc38cae7 7272#define ICL_HDC_MODE _MMIO(0xE5F4)
2a0ee94f 7273#define HDC_FORCE_CSR_NON_COHERENT_OVR_DISABLE (1<<15)
da09654d 7274#define HDC_FENCE_DEST_SLM_DISABLE (1<<14)
35cb6f3b
DL
7275#define HDC_DONOT_FETCH_MEM_WHEN_MASKED (1<<11)
7276#define HDC_FORCE_CONTEXT_SAVE_RESTORE_NON_COHERENT (1<<5)
7277#define HDC_FORCE_NON_COHERENT (1<<4)
65ca7514 7278#define HDC_BARRIER_PERFORMANCE_DISABLE (1<<10)
63801f21 7279
3669ab61
AS
7280#define GEN8_HDC_CHICKEN1 _MMIO(0x7304)
7281
38a39a7b 7282/* GEN9 chicken */
f0f59a00 7283#define SLICE_ECO_CHICKEN0 _MMIO(0x7308)
38a39a7b
BW
7284#define PIXEL_MASK_CAMMING_DISABLE (1 << 14)
7285
0c79f9cb
MT
7286#define GEN9_WM_CHICKEN3 _MMIO(0x5588)
7287#define GEN9_FACTOR_IN_CLR_VAL_HIZ (1 << 9)
7288
db099c8f 7289/* WaCatErrorRejectionIssue */
f0f59a00 7290#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG _MMIO(0x9030)
db099c8f
ED
7291#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1<<11)
7292
f0f59a00 7293#define HSW_SCRATCH1 _MMIO(0xb038)
f3fc4884
FJ
7294#define HSW_SCRATCH1_L3_DATA_ATOMICS_DISABLE (1<<27)
7295
f0f59a00 7296#define BDW_SCRATCH1 _MMIO(0xb11c)
77719d28
DL
7297#define GEN9_LBS_SLA_RETRY_TIMER_DECREMENT_ENABLE (1<<2)
7298
b9055052
ZW
7299/* PCH */
7300
23e81d69 7301/* south display engine interrupt: IBX */
776ad806
JB
7302#define SDE_AUDIO_POWER_D (1 << 27)
7303#define SDE_AUDIO_POWER_C (1 << 26)
7304#define SDE_AUDIO_POWER_B (1 << 25)
7305#define SDE_AUDIO_POWER_SHIFT (25)
7306#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
7307#define SDE_GMBUS (1 << 24)
7308#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
7309#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
7310#define SDE_AUDIO_HDCP_MASK (3 << 22)
7311#define SDE_AUDIO_TRANSB (1 << 21)
7312#define SDE_AUDIO_TRANSA (1 << 20)
7313#define SDE_AUDIO_TRANS_MASK (3 << 20)
7314#define SDE_POISON (1 << 19)
7315/* 18 reserved */
7316#define SDE_FDI_RXB (1 << 17)
7317#define SDE_FDI_RXA (1 << 16)
7318#define SDE_FDI_MASK (3 << 16)
7319#define SDE_AUXD (1 << 15)
7320#define SDE_AUXC (1 << 14)
7321#define SDE_AUXB (1 << 13)
7322#define SDE_AUX_MASK (7 << 13)
7323/* 12 reserved */
b9055052
ZW
7324#define SDE_CRT_HOTPLUG (1 << 11)
7325#define SDE_PORTD_HOTPLUG (1 << 10)
7326#define SDE_PORTC_HOTPLUG (1 << 9)
7327#define SDE_PORTB_HOTPLUG (1 << 8)
7328#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
7329#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
7330 SDE_SDVOB_HOTPLUG | \
7331 SDE_PORTB_HOTPLUG | \
7332 SDE_PORTC_HOTPLUG | \
7333 SDE_PORTD_HOTPLUG)
776ad806
JB
7334#define SDE_TRANSB_CRC_DONE (1 << 5)
7335#define SDE_TRANSB_CRC_ERR (1 << 4)
7336#define SDE_TRANSB_FIFO_UNDER (1 << 3)
7337#define SDE_TRANSA_CRC_DONE (1 << 2)
7338#define SDE_TRANSA_CRC_ERR (1 << 1)
7339#define SDE_TRANSA_FIFO_UNDER (1 << 0)
7340#define SDE_TRANS_MASK (0x3f)
23e81d69
AJ
7341
7342/* south display engine interrupt: CPT/PPT */
7343#define SDE_AUDIO_POWER_D_CPT (1 << 31)
7344#define SDE_AUDIO_POWER_C_CPT (1 << 30)
7345#define SDE_AUDIO_POWER_B_CPT (1 << 29)
7346#define SDE_AUDIO_POWER_SHIFT_CPT 29
7347#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
7348#define SDE_AUXD_CPT (1 << 27)
7349#define SDE_AUXC_CPT (1 << 26)
7350#define SDE_AUXB_CPT (1 << 25)
7351#define SDE_AUX_MASK_CPT (7 << 25)
26951caf 7352#define SDE_PORTE_HOTPLUG_SPT (1 << 25)
74c0b395 7353#define SDE_PORTA_HOTPLUG_SPT (1 << 24)
8db9d77b
ZW
7354#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
7355#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
7356#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 7357#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 7358#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 7359#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 7360 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
7361 SDE_PORTD_HOTPLUG_CPT | \
7362 SDE_PORTC_HOTPLUG_CPT | \
7363 SDE_PORTB_HOTPLUG_CPT)
26951caf
XZ
7364#define SDE_HOTPLUG_MASK_SPT (SDE_PORTE_HOTPLUG_SPT | \
7365 SDE_PORTD_HOTPLUG_CPT | \
7366 SDE_PORTC_HOTPLUG_CPT | \
74c0b395
VS
7367 SDE_PORTB_HOTPLUG_CPT | \
7368 SDE_PORTA_HOTPLUG_SPT)
23e81d69 7369#define SDE_GMBUS_CPT (1 << 17)
8664281b 7370#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
7371#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
7372#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
7373#define SDE_FDI_RXC_CPT (1 << 8)
7374#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
7375#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
7376#define SDE_FDI_RXB_CPT (1 << 4)
7377#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
7378#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
7379#define SDE_FDI_RXA_CPT (1 << 0)
7380#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
7381 SDE_AUDIO_CP_REQ_B_CPT | \
7382 SDE_AUDIO_CP_REQ_A_CPT)
7383#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
7384 SDE_AUDIO_CP_CHG_B_CPT | \
7385 SDE_AUDIO_CP_CHG_A_CPT)
7386#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
7387 SDE_FDI_RXB_CPT | \
7388 SDE_FDI_RXA_CPT)
b9055052 7389
f0f59a00
VS
7390#define SDEISR _MMIO(0xc4000)
7391#define SDEIMR _MMIO(0xc4004)
7392#define SDEIIR _MMIO(0xc4008)
7393#define SDEIER _MMIO(0xc400c)
b9055052 7394
f0f59a00 7395#define SERR_INT _MMIO(0xc4040)
de032bf4 7396#define SERR_INT_POISON (1<<31)
68d97538 7397#define SERR_INT_TRANS_FIFO_UNDERRUN(pipe) (1<<((pipe)*3))
8664281b 7398
b9055052 7399/* digital port hotplug */
f0f59a00 7400#define PCH_PORT_HOTPLUG _MMIO(0xc4030) /* SHOTPLUG_CTL */
195baa06 7401#define PORTA_HOTPLUG_ENABLE (1 << 28) /* LPT:LP+ & BXT */
d252bf68 7402#define BXT_DDIA_HPD_INVERT (1 << 27)
195baa06
VS
7403#define PORTA_HOTPLUG_STATUS_MASK (3 << 24) /* SPT+ & BXT */
7404#define PORTA_HOTPLUG_NO_DETECT (0 << 24) /* SPT+ & BXT */
7405#define PORTA_HOTPLUG_SHORT_DETECT (1 << 24) /* SPT+ & BXT */
7406#define PORTA_HOTPLUG_LONG_DETECT (2 << 24) /* SPT+ & BXT */
40bfd7a3
VS
7407#define PORTD_HOTPLUG_ENABLE (1 << 20)
7408#define PORTD_PULSE_DURATION_2ms (0 << 18) /* pre-LPT */
7409#define PORTD_PULSE_DURATION_4_5ms (1 << 18) /* pre-LPT */
7410#define PORTD_PULSE_DURATION_6ms (2 << 18) /* pre-LPT */
7411#define PORTD_PULSE_DURATION_100ms (3 << 18) /* pre-LPT */
7412#define PORTD_PULSE_DURATION_MASK (3 << 18) /* pre-LPT */
7413#define PORTD_HOTPLUG_STATUS_MASK (3 << 16)
b696519e
DL
7414#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
7415#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
7416#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
40bfd7a3 7417#define PORTC_HOTPLUG_ENABLE (1 << 12)
d252bf68 7418#define BXT_DDIC_HPD_INVERT (1 << 11)
40bfd7a3
VS
7419#define PORTC_PULSE_DURATION_2ms (0 << 10) /* pre-LPT */
7420#define PORTC_PULSE_DURATION_4_5ms (1 << 10) /* pre-LPT */
7421#define PORTC_PULSE_DURATION_6ms (2 << 10) /* pre-LPT */
7422#define PORTC_PULSE_DURATION_100ms (3 << 10) /* pre-LPT */
7423#define PORTC_PULSE_DURATION_MASK (3 << 10) /* pre-LPT */
7424#define PORTC_HOTPLUG_STATUS_MASK (3 << 8)
b696519e
DL
7425#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
7426#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
7427#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
40bfd7a3 7428#define PORTB_HOTPLUG_ENABLE (1 << 4)
d252bf68 7429#define BXT_DDIB_HPD_INVERT (1 << 3)
40bfd7a3
VS
7430#define PORTB_PULSE_DURATION_2ms (0 << 2) /* pre-LPT */
7431#define PORTB_PULSE_DURATION_4_5ms (1 << 2) /* pre-LPT */
7432#define PORTB_PULSE_DURATION_6ms (2 << 2) /* pre-LPT */
7433#define PORTB_PULSE_DURATION_100ms (3 << 2) /* pre-LPT */
7434#define PORTB_PULSE_DURATION_MASK (3 << 2) /* pre-LPT */
7435#define PORTB_HOTPLUG_STATUS_MASK (3 << 0)
b696519e
DL
7436#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
7437#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
7438#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
d252bf68
SS
7439#define BXT_DDI_HPD_INVERT_MASK (BXT_DDIA_HPD_INVERT | \
7440 BXT_DDIB_HPD_INVERT | \
7441 BXT_DDIC_HPD_INVERT)
b9055052 7442
f0f59a00 7443#define PCH_PORT_HOTPLUG2 _MMIO(0xc403C) /* SHOTPLUG_CTL2 SPT+ */
40bfd7a3
VS
7444#define PORTE_HOTPLUG_ENABLE (1 << 4)
7445#define PORTE_HOTPLUG_STATUS_MASK (3 << 0)
26951caf
XZ
7446#define PORTE_HOTPLUG_NO_DETECT (0 << 0)
7447#define PORTE_HOTPLUG_SHORT_DETECT (1 << 0)
7448#define PORTE_HOTPLUG_LONG_DETECT (2 << 0)
b9055052 7449
f0f59a00
VS
7450#define PCH_GPIOA _MMIO(0xc5010)
7451#define PCH_GPIOB _MMIO(0xc5014)
7452#define PCH_GPIOC _MMIO(0xc5018)
7453#define PCH_GPIOD _MMIO(0xc501c)
7454#define PCH_GPIOE _MMIO(0xc5020)
7455#define PCH_GPIOF _MMIO(0xc5024)
b9055052 7456
f0f59a00
VS
7457#define PCH_GMBUS0 _MMIO(0xc5100)
7458#define PCH_GMBUS1 _MMIO(0xc5104)
7459#define PCH_GMBUS2 _MMIO(0xc5108)
7460#define PCH_GMBUS3 _MMIO(0xc510c)
7461#define PCH_GMBUS4 _MMIO(0xc5110)
7462#define PCH_GMBUS5 _MMIO(0xc5120)
f0217c42 7463
9db4a9c7
JB
7464#define _PCH_DPLL_A 0xc6014
7465#define _PCH_DPLL_B 0xc6018
f0f59a00 7466#define PCH_DPLL(pll) _MMIO(pll == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 7467
9db4a9c7 7468#define _PCH_FPA0 0xc6040
c1858123 7469#define FP_CB_TUNE (0x3<<22)
9db4a9c7
JB
7470#define _PCH_FPA1 0xc6044
7471#define _PCH_FPB0 0xc6048
7472#define _PCH_FPB1 0xc604c
f0f59a00
VS
7473#define PCH_FP0(pll) _MMIO(pll == 0 ? _PCH_FPA0 : _PCH_FPB0)
7474#define PCH_FP1(pll) _MMIO(pll == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052 7475
f0f59a00 7476#define PCH_DPLL_TEST _MMIO(0xc606c)
b9055052 7477
f0f59a00 7478#define PCH_DREF_CONTROL _MMIO(0xC6200)
b9055052
ZW
7479#define DREF_CONTROL_MASK 0x7fc3
7480#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0<<13)
7481#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2<<13)
7482#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3<<13)
7483#define DREF_CPU_SOURCE_OUTPUT_MASK (3<<13)
7484#define DREF_SSC_SOURCE_DISABLE (0<<11)
7485#define DREF_SSC_SOURCE_ENABLE (2<<11)
c038e51e 7486#define DREF_SSC_SOURCE_MASK (3<<11)
b9055052
ZW
7487#define DREF_NONSPREAD_SOURCE_DISABLE (0<<9)
7488#define DREF_NONSPREAD_CK505_ENABLE (1<<9)
7489#define DREF_NONSPREAD_SOURCE_ENABLE (2<<9)
c038e51e 7490#define DREF_NONSPREAD_SOURCE_MASK (3<<9)
b9055052
ZW
7491#define DREF_SUPERSPREAD_SOURCE_DISABLE (0<<7)
7492#define DREF_SUPERSPREAD_SOURCE_ENABLE (2<<7)
92f2584a 7493#define DREF_SUPERSPREAD_SOURCE_MASK (3<<7)
b9055052
ZW
7494#define DREF_SSC4_DOWNSPREAD (0<<6)
7495#define DREF_SSC4_CENTERSPREAD (1<<6)
7496#define DREF_SSC1_DISABLE (0<<1)
7497#define DREF_SSC1_ENABLE (1<<1)
7498#define DREF_SSC4_DISABLE (0)
7499#define DREF_SSC4_ENABLE (1)
7500
f0f59a00 7501#define PCH_RAWCLK_FREQ _MMIO(0xc6204)
b9055052
ZW
7502#define FDL_TP1_TIMER_SHIFT 12
7503#define FDL_TP1_TIMER_MASK (3<<12)
7504#define FDL_TP2_TIMER_SHIFT 10
7505#define FDL_TP2_TIMER_MASK (3<<10)
7506#define RAWCLK_FREQ_MASK 0x3ff
9d81a997
RV
7507#define CNP_RAWCLK_DIV_MASK (0x3ff << 16)
7508#define CNP_RAWCLK_DIV(div) ((div) << 16)
7509#define CNP_RAWCLK_FRAC_MASK (0xf << 26)
7510#define CNP_RAWCLK_FRAC(frac) ((frac) << 26)
4ef99abd
AS
7511#define ICP_RAWCLK_DEN(den) ((den) << 26)
7512#define ICP_RAWCLK_NUM(num) ((num) << 11)
b9055052 7513
f0f59a00 7514#define PCH_DPLL_TMR_CFG _MMIO(0xc6208)
b9055052 7515
f0f59a00
VS
7516#define PCH_SSC4_PARMS _MMIO(0xc6210)
7517#define PCH_SSC4_AUX_PARMS _MMIO(0xc6214)
b9055052 7518
f0f59a00 7519#define PCH_DPLL_SEL _MMIO(0xc7000)
68d97538 7520#define TRANS_DPLLB_SEL(pipe) (1 << ((pipe) * 4))
11887397 7521#define TRANS_DPLLA_SEL(pipe) 0
68d97538 7522#define TRANS_DPLL_ENABLE(pipe) (1 << ((pipe) * 4 + 3))
8db9d77b 7523
b9055052
ZW
7524/* transcoder */
7525
275f01b2
DV
7526#define _PCH_TRANS_HTOTAL_A 0xe0000
7527#define TRANS_HTOTAL_SHIFT 16
7528#define TRANS_HACTIVE_SHIFT 0
7529#define _PCH_TRANS_HBLANK_A 0xe0004
7530#define TRANS_HBLANK_END_SHIFT 16
7531#define TRANS_HBLANK_START_SHIFT 0
7532#define _PCH_TRANS_HSYNC_A 0xe0008
7533#define TRANS_HSYNC_END_SHIFT 16
7534#define TRANS_HSYNC_START_SHIFT 0
7535#define _PCH_TRANS_VTOTAL_A 0xe000c
7536#define TRANS_VTOTAL_SHIFT 16
7537#define TRANS_VACTIVE_SHIFT 0
7538#define _PCH_TRANS_VBLANK_A 0xe0010
7539#define TRANS_VBLANK_END_SHIFT 16
7540#define TRANS_VBLANK_START_SHIFT 0
7541#define _PCH_TRANS_VSYNC_A 0xe0014
7542#define TRANS_VSYNC_END_SHIFT 16
7543#define TRANS_VSYNC_START_SHIFT 0
7544#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 7545
e3b95f1e
DV
7546#define _PCH_TRANSA_DATA_M1 0xe0030
7547#define _PCH_TRANSA_DATA_N1 0xe0034
7548#define _PCH_TRANSA_DATA_M2 0xe0038
7549#define _PCH_TRANSA_DATA_N2 0xe003c
7550#define _PCH_TRANSA_LINK_M1 0xe0040
7551#define _PCH_TRANSA_LINK_N1 0xe0044
7552#define _PCH_TRANSA_LINK_M2 0xe0048
7553#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 7554
2dcbc34d 7555/* Per-transcoder DIP controls (PCH) */
b055c8f3
JB
7556#define _VIDEO_DIP_CTL_A 0xe0200
7557#define _VIDEO_DIP_DATA_A 0xe0208
7558#define _VIDEO_DIP_GCP_A 0xe0210
6d67415f
VS
7559#define GCP_COLOR_INDICATION (1 << 2)
7560#define GCP_DEFAULT_PHASE_ENABLE (1 << 1)
7561#define GCP_AV_MUTE (1 << 0)
b055c8f3
JB
7562
7563#define _VIDEO_DIP_CTL_B 0xe1200
7564#define _VIDEO_DIP_DATA_B 0xe1208
7565#define _VIDEO_DIP_GCP_B 0xe1210
7566
f0f59a00
VS
7567#define TVIDEO_DIP_CTL(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
7568#define TVIDEO_DIP_DATA(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
7569#define TVIDEO_DIP_GCP(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
b055c8f3 7570
2dcbc34d 7571/* Per-transcoder DIP controls (VLV) */
086f8e84
VS
7572#define _VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
7573#define _VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
7574#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 7575
086f8e84
VS
7576#define _VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
7577#define _VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
7578#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8 7579
086f8e84
VS
7580#define _CHV_VIDEO_DIP_CTL_C (VLV_DISPLAY_BASE + 0x611f0)
7581#define _CHV_VIDEO_DIP_DATA_C (VLV_DISPLAY_BASE + 0x611f4)
7582#define _CHV_VIDEO_DIP_GDCP_PAYLOAD_C (VLV_DISPLAY_BASE + 0x611f8)
2dcbc34d 7583
90b107c8 7584#define VLV_TVIDEO_DIP_CTL(pipe) \
f0f59a00 7585 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_CTL_A, \
086f8e84 7586 _VLV_VIDEO_DIP_CTL_B, _CHV_VIDEO_DIP_CTL_C)
90b107c8 7587#define VLV_TVIDEO_DIP_DATA(pipe) \
f0f59a00 7588 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_DATA_A, \
086f8e84 7589 _VLV_VIDEO_DIP_DATA_B, _CHV_VIDEO_DIP_DATA_C)
90b107c8 7590#define VLV_TVIDEO_DIP_GCP(pipe) \
f0f59a00 7591 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_GDCP_PAYLOAD_A, \
086f8e84 7592 _VLV_VIDEO_DIP_GDCP_PAYLOAD_B, _CHV_VIDEO_DIP_GDCP_PAYLOAD_C)
90b107c8 7593
8c5f5f7c 7594/* Haswell DIP controls */
f0f59a00 7595
086f8e84
VS
7596#define _HSW_VIDEO_DIP_CTL_A 0x60200
7597#define _HSW_VIDEO_DIP_AVI_DATA_A 0x60220
7598#define _HSW_VIDEO_DIP_VS_DATA_A 0x60260
7599#define _HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
7600#define _HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
7601#define _HSW_VIDEO_DIP_VSC_DATA_A 0x60320
7602#define _HSW_VIDEO_DIP_AVI_ECC_A 0x60240
7603#define _HSW_VIDEO_DIP_VS_ECC_A 0x60280
7604#define _HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
7605#define _HSW_VIDEO_DIP_GMP_ECC_A 0x60300
7606#define _HSW_VIDEO_DIP_VSC_ECC_A 0x60344
7607#define _HSW_VIDEO_DIP_GCP_A 0x60210
7608
7609#define _HSW_VIDEO_DIP_CTL_B 0x61200
7610#define _HSW_VIDEO_DIP_AVI_DATA_B 0x61220
7611#define _HSW_VIDEO_DIP_VS_DATA_B 0x61260
7612#define _HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
7613#define _HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
7614#define _HSW_VIDEO_DIP_VSC_DATA_B 0x61320
7615#define _HSW_VIDEO_DIP_BVI_ECC_B 0x61240
7616#define _HSW_VIDEO_DIP_VS_ECC_B 0x61280
7617#define _HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
7618#define _HSW_VIDEO_DIP_GMP_ECC_B 0x61300
7619#define _HSW_VIDEO_DIP_VSC_ECC_B 0x61344
7620#define _HSW_VIDEO_DIP_GCP_B 0x61210
8c5f5f7c 7621
f0f59a00
VS
7622#define HSW_TVIDEO_DIP_CTL(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_CTL_A)
7623#define HSW_TVIDEO_DIP_AVI_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_AVI_DATA_A + (i) * 4)
7624#define HSW_TVIDEO_DIP_VS_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VS_DATA_A + (i) * 4)
7625#define HSW_TVIDEO_DIP_SPD_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_SPD_DATA_A + (i) * 4)
7626#define HSW_TVIDEO_DIP_GCP(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GCP_A)
7627#define HSW_TVIDEO_DIP_VSC_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VSC_DATA_A + (i) * 4)
7628
7629#define _HSW_STEREO_3D_CTL_A 0x70020
7630#define S3D_ENABLE (1<<31)
7631#define _HSW_STEREO_3D_CTL_B 0x71020
7632
7633#define HSW_STEREO_3D_CTL(trans) _MMIO_PIPE2(trans, _HSW_STEREO_3D_CTL_A)
3f51e471 7634
275f01b2
DV
7635#define _PCH_TRANS_HTOTAL_B 0xe1000
7636#define _PCH_TRANS_HBLANK_B 0xe1004
7637#define _PCH_TRANS_HSYNC_B 0xe1008
7638#define _PCH_TRANS_VTOTAL_B 0xe100c
7639#define _PCH_TRANS_VBLANK_B 0xe1010
7640#define _PCH_TRANS_VSYNC_B 0xe1014
f0f59a00 7641#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
275f01b2 7642
f0f59a00
VS
7643#define PCH_TRANS_HTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
7644#define PCH_TRANS_HBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
7645#define PCH_TRANS_HSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
7646#define PCH_TRANS_VTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
7647#define PCH_TRANS_VBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
7648#define PCH_TRANS_VSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
7649#define PCH_TRANS_VSYNCSHIFT(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 7650
e3b95f1e
DV
7651#define _PCH_TRANSB_DATA_M1 0xe1030
7652#define _PCH_TRANSB_DATA_N1 0xe1034
7653#define _PCH_TRANSB_DATA_M2 0xe1038
7654#define _PCH_TRANSB_DATA_N2 0xe103c
7655#define _PCH_TRANSB_LINK_M1 0xe1040
7656#define _PCH_TRANSB_LINK_N1 0xe1044
7657#define _PCH_TRANSB_LINK_M2 0xe1048
7658#define _PCH_TRANSB_LINK_N2 0xe104c
7659
f0f59a00
VS
7660#define PCH_TRANS_DATA_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
7661#define PCH_TRANS_DATA_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
7662#define PCH_TRANS_DATA_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
7663#define PCH_TRANS_DATA_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
7664#define PCH_TRANS_LINK_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
7665#define PCH_TRANS_LINK_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
7666#define PCH_TRANS_LINK_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
7667#define PCH_TRANS_LINK_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 7668
ab9412ba
DV
7669#define _PCH_TRANSACONF 0xf0008
7670#define _PCH_TRANSBCONF 0xf1008
f0f59a00
VS
7671#define PCH_TRANSCONF(pipe) _MMIO_PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
7672#define LPT_TRANSCONF PCH_TRANSCONF(PIPE_A) /* lpt has only one transcoder */
b9055052
ZW
7673#define TRANS_DISABLE (0<<31)
7674#define TRANS_ENABLE (1<<31)
7675#define TRANS_STATE_MASK (1<<30)
7676#define TRANS_STATE_DISABLE (0<<30)
7677#define TRANS_STATE_ENABLE (1<<30)
7678#define TRANS_FSYNC_DELAY_HB1 (0<<27)
7679#define TRANS_FSYNC_DELAY_HB2 (1<<27)
7680#define TRANS_FSYNC_DELAY_HB3 (2<<27)
7681#define TRANS_FSYNC_DELAY_HB4 (3<<27)
5f7f726d 7682#define TRANS_INTERLACE_MASK (7<<21)
b9055052 7683#define TRANS_PROGRESSIVE (0<<21)
5f7f726d 7684#define TRANS_INTERLACED (3<<21)
7c26e5c6 7685#define TRANS_LEGACY_INTERLACED_ILK (2<<21)
b9055052
ZW
7686#define TRANS_8BPC (0<<5)
7687#define TRANS_10BPC (1<<5)
7688#define TRANS_6BPC (2<<5)
7689#define TRANS_12BPC (3<<5)
7690
ce40141f
DV
7691#define _TRANSA_CHICKEN1 0xf0060
7692#define _TRANSB_CHICKEN1 0xf1060
f0f59a00 7693#define TRANS_CHICKEN1(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
d1b1589c 7694#define TRANS_CHICKEN1_HDMIUNIT_GC_DISABLE (1<<10)
ce40141f 7695#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1<<4)
3bcf603f
JB
7696#define _TRANSA_CHICKEN2 0xf0064
7697#define _TRANSB_CHICKEN2 0xf1064
f0f59a00 7698#define TRANS_CHICKEN2(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
dc4bd2d1
PZ
7699#define TRANS_CHICKEN2_TIMING_OVERRIDE (1<<31)
7700#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1<<29)
7701#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3<<27)
7702#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1<<26)
7703#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1<<25)
3bcf603f 7704
f0f59a00 7705#define SOUTH_CHICKEN1 _MMIO(0xc2000)
291427f5
JB
7706#define FDIA_PHASE_SYNC_SHIFT_OVR 19
7707#define FDIA_PHASE_SYNC_SHIFT_EN 18
01a415fd
DV
7708#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
7709#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
7710#define FDI_BC_BIFURCATION_SELECT (1 << 12)
3b92e263
RV
7711#define CHASSIS_CLK_REQ_DURATION_MASK (0xf << 8)
7712#define CHASSIS_CLK_REQ_DURATION(x) ((x) << 8)
aa17cdb4 7713#define SPT_PWM_GRANULARITY (1<<0)
f0f59a00 7714#define SOUTH_CHICKEN2 _MMIO(0xc2004)
dde86e2d
PZ
7715#define FDI_MPHY_IOSFSB_RESET_STATUS (1<<13)
7716#define FDI_MPHY_IOSFSB_RESET_CTL (1<<12)
aa17cdb4 7717#define LPT_PWM_GRANULARITY (1<<5)
dde86e2d 7718#define DPLS_EDP_PPS_FIX_DIS (1<<0)
645c62a5 7719
f0f59a00
VS
7720#define _FDI_RXA_CHICKEN 0xc200c
7721#define _FDI_RXB_CHICKEN 0xc2010
6f06ce18
JB
7722#define FDI_RX_PHASE_SYNC_POINTER_OVR (1<<1)
7723#define FDI_RX_PHASE_SYNC_POINTER_EN (1<<0)
f0f59a00 7724#define FDI_RX_CHICKEN(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 7725
f0f59a00 7726#define SOUTH_DSPCLK_GATE_D _MMIO(0xc2020)
6481d5ed 7727#define PCH_GMBUSUNIT_CLOCK_GATE_DISABLE (1<<31)
cd664078 7728#define PCH_DPLUNIT_CLOCK_GATE_DISABLE (1<<30)
382b0936 7729#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
cd664078 7730#define PCH_CPUNIT_CLOCK_GATE_DISABLE (1<<14)
0a46ddd5 7731#define CNP_PWM_CGE_GATING_DISABLE (1<<13)
17a303ec 7732#define PCH_LP_PARTITION_LEVEL_DISABLE (1<<12)
382b0936 7733
b9055052 7734/* CPU: FDI_TX */
f0f59a00
VS
7735#define _FDI_TXA_CTL 0x60100
7736#define _FDI_TXB_CTL 0x61100
7737#define FDI_TX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
b9055052
ZW
7738#define FDI_TX_DISABLE (0<<31)
7739#define FDI_TX_ENABLE (1<<31)
7740#define FDI_LINK_TRAIN_PATTERN_1 (0<<28)
7741#define FDI_LINK_TRAIN_PATTERN_2 (1<<28)
7742#define FDI_LINK_TRAIN_PATTERN_IDLE (2<<28)
7743#define FDI_LINK_TRAIN_NONE (3<<28)
7744#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0<<25)
7745#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1<<25)
7746#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2<<25)
7747#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3<<25)
7748#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
7749#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
7750#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2<<22)
7751#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3<<22)
8db9d77b
ZW
7752/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
7753 SNB has different settings. */
7754/* SNB A-stepping */
7755#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
7756#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
7757#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
7758#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
7759/* SNB B-stepping */
7760#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0<<22)
7761#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a<<22)
7762#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39<<22)
7763#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38<<22)
7764#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f<<22)
627eb5a3
DV
7765#define FDI_DP_PORT_WIDTH_SHIFT 19
7766#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
7767#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
b9055052 7768#define FDI_TX_ENHANCE_FRAME_ENABLE (1<<18)
f2b115e6 7769/* Ironlake: hardwired to 1 */
b9055052 7770#define FDI_TX_PLL_ENABLE (1<<14)
357555c0
JB
7771
7772/* Ivybridge has different bits for lolz */
7773#define FDI_LINK_TRAIN_PATTERN_1_IVB (0<<8)
7774#define FDI_LINK_TRAIN_PATTERN_2_IVB (1<<8)
7775#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2<<8)
7776#define FDI_LINK_TRAIN_NONE_IVB (3<<8)
7777
b9055052 7778/* both Tx and Rx */
c4f9c4c2 7779#define FDI_COMPOSITE_SYNC (1<<11)
357555c0 7780#define FDI_LINK_TRAIN_AUTO (1<<10)
b9055052
ZW
7781#define FDI_SCRAMBLING_ENABLE (0<<7)
7782#define FDI_SCRAMBLING_DISABLE (1<<7)
7783
7784/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
7785#define _FDI_RXA_CTL 0xf000c
7786#define _FDI_RXB_CTL 0xf100c
f0f59a00 7787#define FDI_RX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
b9055052 7788#define FDI_RX_ENABLE (1<<31)
b9055052 7789/* train, dp width same as FDI_TX */
357555c0
JB
7790#define FDI_FS_ERRC_ENABLE (1<<27)
7791#define FDI_FE_ERRC_ENABLE (1<<26)
68d18ad7 7792#define FDI_RX_POLARITY_REVERSED_LPT (1<<16)
b9055052
ZW
7793#define FDI_8BPC (0<<16)
7794#define FDI_10BPC (1<<16)
7795#define FDI_6BPC (2<<16)
7796#define FDI_12BPC (3<<16)
3e68320e 7797#define FDI_RX_LINK_REVERSAL_OVERRIDE (1<<15)
b9055052
ZW
7798#define FDI_DMI_LINK_REVERSE_MASK (1<<14)
7799#define FDI_RX_PLL_ENABLE (1<<13)
7800#define FDI_FS_ERR_CORRECT_ENABLE (1<<11)
7801#define FDI_FE_ERR_CORRECT_ENABLE (1<<10)
7802#define FDI_FS_ERR_REPORT_ENABLE (1<<9)
7803#define FDI_FE_ERR_REPORT_ENABLE (1<<8)
7804#define FDI_RX_ENHANCE_FRAME_ENABLE (1<<6)
5eddb70b 7805#define FDI_PCDCLK (1<<4)
8db9d77b
ZW
7806/* CPT */
7807#define FDI_AUTO_TRAINING (1<<10)
7808#define FDI_LINK_TRAIN_PATTERN_1_CPT (0<<8)
7809#define FDI_LINK_TRAIN_PATTERN_2_CPT (1<<8)
7810#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2<<8)
7811#define FDI_LINK_TRAIN_NORMAL_CPT (3<<8)
7812#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3<<8)
b9055052 7813
04945641
PZ
7814#define _FDI_RXA_MISC 0xf0010
7815#define _FDI_RXB_MISC 0xf1010
7816#define FDI_RX_PWRDN_LANE1_MASK (3<<26)
7817#define FDI_RX_PWRDN_LANE1_VAL(x) ((x)<<26)
7818#define FDI_RX_PWRDN_LANE0_MASK (3<<24)
7819#define FDI_RX_PWRDN_LANE0_VAL(x) ((x)<<24)
7820#define FDI_RX_TP1_TO_TP2_48 (2<<20)
7821#define FDI_RX_TP1_TO_TP2_64 (3<<20)
7822#define FDI_RX_FDI_DELAY_90 (0x90<<0)
f0f59a00 7823#define FDI_RX_MISC(pipe) _MMIO_PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
04945641 7824
f0f59a00
VS
7825#define _FDI_RXA_TUSIZE1 0xf0030
7826#define _FDI_RXA_TUSIZE2 0xf0038
7827#define _FDI_RXB_TUSIZE1 0xf1030
7828#define _FDI_RXB_TUSIZE2 0xf1038
7829#define FDI_RX_TUSIZE1(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
7830#define FDI_RX_TUSIZE2(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
7831
7832/* FDI_RX interrupt register format */
7833#define FDI_RX_INTER_LANE_ALIGN (1<<10)
7834#define FDI_RX_SYMBOL_LOCK (1<<9) /* train 2 */
7835#define FDI_RX_BIT_LOCK (1<<8) /* train 1 */
7836#define FDI_RX_TRAIN_PATTERN_2_FAIL (1<<7)
7837#define FDI_RX_FS_CODE_ERR (1<<6)
7838#define FDI_RX_FE_CODE_ERR (1<<5)
7839#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1<<4)
7840#define FDI_RX_HDCP_LINK_FAIL (1<<3)
7841#define FDI_RX_PIXEL_FIFO_OVERFLOW (1<<2)
7842#define FDI_RX_CROSS_CLOCK_OVERFLOW (1<<1)
7843#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1<<0)
7844
f0f59a00
VS
7845#define _FDI_RXA_IIR 0xf0014
7846#define _FDI_RXA_IMR 0xf0018
7847#define _FDI_RXB_IIR 0xf1014
7848#define _FDI_RXB_IMR 0xf1018
7849#define FDI_RX_IIR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
7850#define FDI_RX_IMR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052 7851
f0f59a00
VS
7852#define FDI_PLL_CTL_1 _MMIO(0xfe000)
7853#define FDI_PLL_CTL_2 _MMIO(0xfe004)
b9055052 7854
f0f59a00 7855#define PCH_LVDS _MMIO(0xe1180)
b9055052
ZW
7856#define LVDS_DETECTED (1 << 1)
7857
f0f59a00
VS
7858#define _PCH_DP_B 0xe4100
7859#define PCH_DP_B _MMIO(_PCH_DP_B)
750a951f
VS
7860#define _PCH_DPB_AUX_CH_CTL 0xe4110
7861#define _PCH_DPB_AUX_CH_DATA1 0xe4114
7862#define _PCH_DPB_AUX_CH_DATA2 0xe4118
7863#define _PCH_DPB_AUX_CH_DATA3 0xe411c
7864#define _PCH_DPB_AUX_CH_DATA4 0xe4120
7865#define _PCH_DPB_AUX_CH_DATA5 0xe4124
5eb08b69 7866
f0f59a00
VS
7867#define _PCH_DP_C 0xe4200
7868#define PCH_DP_C _MMIO(_PCH_DP_C)
750a951f
VS
7869#define _PCH_DPC_AUX_CH_CTL 0xe4210
7870#define _PCH_DPC_AUX_CH_DATA1 0xe4214
7871#define _PCH_DPC_AUX_CH_DATA2 0xe4218
7872#define _PCH_DPC_AUX_CH_DATA3 0xe421c
7873#define _PCH_DPC_AUX_CH_DATA4 0xe4220
7874#define _PCH_DPC_AUX_CH_DATA5 0xe4224
5eb08b69 7875
f0f59a00
VS
7876#define _PCH_DP_D 0xe4300
7877#define PCH_DP_D _MMIO(_PCH_DP_D)
750a951f
VS
7878#define _PCH_DPD_AUX_CH_CTL 0xe4310
7879#define _PCH_DPD_AUX_CH_DATA1 0xe4314
7880#define _PCH_DPD_AUX_CH_DATA2 0xe4318
7881#define _PCH_DPD_AUX_CH_DATA3 0xe431c
7882#define _PCH_DPD_AUX_CH_DATA4 0xe4320
7883#define _PCH_DPD_AUX_CH_DATA5 0xe4324
7884
bdabdb63
VS
7885#define PCH_DP_AUX_CH_CTL(aux_ch) _MMIO_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_CTL, _PCH_DPC_AUX_CH_CTL)
7886#define PCH_DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_DATA1, _PCH_DPC_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
5eb08b69 7887
8db9d77b 7888/* CPT */
086f8e84
VS
7889#define _TRANS_DP_CTL_A 0xe0300
7890#define _TRANS_DP_CTL_B 0xe1300
7891#define _TRANS_DP_CTL_C 0xe2300
f0f59a00 7892#define TRANS_DP_CTL(pipe) _MMIO_PIPE(pipe, _TRANS_DP_CTL_A, _TRANS_DP_CTL_B)
8db9d77b 7893#define TRANS_DP_OUTPUT_ENABLE (1<<31)
f67dc6d8
VS
7894#define TRANS_DP_PORT_SEL_MASK (3 << 29)
7895#define TRANS_DP_PORT_SEL_NONE (3 << 29)
7896#define TRANS_DP_PORT_SEL(port) (((port) - PORT_B) << 29)
8db9d77b
ZW
7897#define TRANS_DP_AUDIO_ONLY (1<<26)
7898#define TRANS_DP_ENH_FRAMING (1<<18)
7899#define TRANS_DP_8BPC (0<<9)
7900#define TRANS_DP_10BPC (1<<9)
7901#define TRANS_DP_6BPC (2<<9)
7902#define TRANS_DP_12BPC (3<<9)
220cad3c 7903#define TRANS_DP_BPC_MASK (3<<9)
8db9d77b
ZW
7904#define TRANS_DP_VSYNC_ACTIVE_HIGH (1<<4)
7905#define TRANS_DP_VSYNC_ACTIVE_LOW 0
7906#define TRANS_DP_HSYNC_ACTIVE_HIGH (1<<3)
7907#define TRANS_DP_HSYNC_ACTIVE_LOW 0
94113cec 7908#define TRANS_DP_SYNC_MASK (3<<3)
8db9d77b
ZW
7909
7910/* SNB eDP training params */
7911/* SNB A-stepping */
7912#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
7913#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
7914#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
7915#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
7916/* SNB B-stepping */
3c5a62b5
YL
7917#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0<<22)
7918#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1<<22)
7919#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a<<22)
7920#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39<<22)
7921#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38<<22)
8db9d77b
ZW
7922#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f<<22)
7923
1a2eb460
KP
7924/* IVB */
7925#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 <<22)
7926#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a <<22)
7927#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f <<22)
7928#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 <<22)
7929#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 <<22)
7930#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 <<22)
77fa4cbd 7931#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x3e <<22)
1a2eb460
KP
7932
7933/* legacy values */
7934#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 <<22)
7935#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 <<22)
7936#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 <<22)
7937#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 <<22)
7938#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 <<22)
7939
7940#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f<<22)
7941
f0f59a00 7942#define VLV_PMWGICZ _MMIO(0x1300a4)
9e72b46c 7943
274008e8
SAK
7944#define RC6_LOCATION _MMIO(0xD40)
7945#define RC6_CTX_IN_DRAM (1 << 0)
7946#define RC6_CTX_BASE _MMIO(0xD48)
7947#define RC6_CTX_BASE_MASK 0xFFFFFFF0
7948#define PWRCTX_MAXCNT_RCSUNIT _MMIO(0x2054)
7949#define PWRCTX_MAXCNT_VCSUNIT0 _MMIO(0x12054)
7950#define PWRCTX_MAXCNT_BCSUNIT _MMIO(0x22054)
7951#define PWRCTX_MAXCNT_VECSUNIT _MMIO(0x1A054)
7952#define PWRCTX_MAXCNT_VCSUNIT1 _MMIO(0x1C054)
7953#define IDLE_TIME_MASK 0xFFFFF
f0f59a00
VS
7954#define FORCEWAKE _MMIO(0xA18C)
7955#define FORCEWAKE_VLV _MMIO(0x1300b0)
7956#define FORCEWAKE_ACK_VLV _MMIO(0x1300b4)
7957#define FORCEWAKE_MEDIA_VLV _MMIO(0x1300b8)
7958#define FORCEWAKE_ACK_MEDIA_VLV _MMIO(0x1300bc)
7959#define FORCEWAKE_ACK_HSW _MMIO(0x130044)
7960#define FORCEWAKE_ACK _MMIO(0x130090)
7961#define VLV_GTLC_WAKE_CTRL _MMIO(0x130090)
981a5aea
ID
7962#define VLV_GTLC_RENDER_CTX_EXISTS (1 << 25)
7963#define VLV_GTLC_MEDIA_CTX_EXISTS (1 << 24)
7964#define VLV_GTLC_ALLOWWAKEREQ (1 << 0)
7965
f0f59a00 7966#define VLV_GTLC_PW_STATUS _MMIO(0x130094)
981a5aea
ID
7967#define VLV_GTLC_ALLOWWAKEACK (1 << 0)
7968#define VLV_GTLC_ALLOWWAKEERR (1 << 1)
7969#define VLV_GTLC_PW_MEDIA_STATUS_MASK (1 << 5)
7970#define VLV_GTLC_PW_RENDER_STATUS_MASK (1 << 7)
f0f59a00
VS
7971#define FORCEWAKE_MT _MMIO(0xa188) /* multi-threaded */
7972#define FORCEWAKE_MEDIA_GEN9 _MMIO(0xa270)
a89a70a8
DCS
7973#define FORCEWAKE_MEDIA_VDBOX_GEN11(n) _MMIO(0xa540 + (n) * 4)
7974#define FORCEWAKE_MEDIA_VEBOX_GEN11(n) _MMIO(0xa560 + (n) * 4)
f0f59a00
VS
7975#define FORCEWAKE_RENDER_GEN9 _MMIO(0xa278)
7976#define FORCEWAKE_BLITTER_GEN9 _MMIO(0xa188)
7977#define FORCEWAKE_ACK_MEDIA_GEN9 _MMIO(0x0D88)
a89a70a8
DCS
7978#define FORCEWAKE_ACK_MEDIA_VDBOX_GEN11(n) _MMIO(0x0D50 + (n) * 4)
7979#define FORCEWAKE_ACK_MEDIA_VEBOX_GEN11(n) _MMIO(0x0D70 + (n) * 4)
f0f59a00
VS
7980#define FORCEWAKE_ACK_RENDER_GEN9 _MMIO(0x0D84)
7981#define FORCEWAKE_ACK_BLITTER_GEN9 _MMIO(0x130044)
71306303
MK
7982#define FORCEWAKE_KERNEL BIT(0)
7983#define FORCEWAKE_USER BIT(1)
7984#define FORCEWAKE_KERNEL_FALLBACK BIT(15)
f0f59a00
VS
7985#define FORCEWAKE_MT_ACK _MMIO(0x130040)
7986#define ECOBUS _MMIO(0xa180)
8d715f00 7987#define FORCEWAKE_MT_ENABLE (1<<5)
f0f59a00 7988#define VLV_SPAREG2H _MMIO(0xA194)
f2dd7578
AG
7989#define GEN9_PWRGT_DOMAIN_STATUS _MMIO(0xA2A0)
7990#define GEN9_PWRGT_MEDIA_STATUS_MASK (1 << 0)
7991#define GEN9_PWRGT_RENDER_STATUS_MASK (1 << 1)
8fd26859 7992
f0f59a00 7993#define GTFIFODBG _MMIO(0x120000)
297b32ec
VS
7994#define GT_FIFO_SBDEDICATE_FREE_ENTRY_CHV (0x1f << 20)
7995#define GT_FIFO_FREE_ENTRIES_CHV (0x7f << 13)
90f256b5
VS
7996#define GT_FIFO_SBDROPERR (1<<6)
7997#define GT_FIFO_BLOBDROPERR (1<<5)
7998#define GT_FIFO_SB_READ_ABORTERR (1<<4)
7999#define GT_FIFO_DROPERR (1<<3)
dd202c6d
BW
8000#define GT_FIFO_OVFERR (1<<2)
8001#define GT_FIFO_IAWRERR (1<<1)
8002#define GT_FIFO_IARDERR (1<<0)
8003
f0f59a00 8004#define GTFIFOCTL _MMIO(0x120008)
46520e2b 8005#define GT_FIFO_FREE_ENTRIES_MASK 0x7f
95736720 8006#define GT_FIFO_NUM_RESERVED_ENTRIES 20
a04f90a3
D
8007#define GT_FIFO_CTL_BLOCK_ALL_POLICY_STALL (1 << 12)
8008#define GT_FIFO_CTL_RC6_POLICY_STALL (1 << 11)
91355834 8009
f0f59a00 8010#define HSW_IDICR _MMIO(0x9008)
05e21cc4 8011#define IDIHASHMSK(x) (((x) & 0x3f) << 16)
3accaf7e 8012#define HSW_EDRAM_CAP _MMIO(0x120010)
2db59d53 8013#define EDRAM_ENABLED 0x1
c02e85a0
MK
8014#define EDRAM_NUM_BANKS(cap) (((cap) >> 1) & 0xf)
8015#define EDRAM_WAYS_IDX(cap) (((cap) >> 5) & 0x7)
8016#define EDRAM_SETS_IDX(cap) (((cap) >> 8) & 0x3)
05e21cc4 8017
f0f59a00 8018#define GEN6_UCGCTL1 _MMIO(0x9400)
8aeb7f62 8019# define GEN6_GAMUNIT_CLOCK_GATE_DISABLE (1 << 22)
e4443e45 8020# define GEN6_EU_TCUNIT_CLOCK_GATE_DISABLE (1 << 16)
80e829fa 8021# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 8022# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 8023
f0f59a00 8024#define GEN6_UCGCTL2 _MMIO(0x9404)
f9fc42f4 8025# define GEN6_VFUNIT_CLOCK_GATE_DISABLE (1 << 31)
0f846f81 8026# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 8027# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 8028# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 8029# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 8030# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 8031
f0f59a00 8032#define GEN6_UCGCTL3 _MMIO(0x9408)
d7965152 8033# define GEN6_OACSUNIT_CLOCK_GATE_DISABLE (1 << 20)
9e72b46c 8034
f0f59a00 8035#define GEN7_UCGCTL4 _MMIO(0x940c)
e3f33d46 8036#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1<<25)
eee8efb0 8037#define GEN8_EU_GAUNIT_CLOCK_GATE_DISABLE (1<<14)
e3f33d46 8038
f0f59a00
VS
8039#define GEN6_RCGCTL1 _MMIO(0x9410)
8040#define GEN6_RCGCTL2 _MMIO(0x9414)
8041#define GEN6_RSTCTL _MMIO(0x9420)
9e72b46c 8042
f0f59a00 8043#define GEN8_UCGCTL6 _MMIO(0x9430)
9253c2e5 8044#define GEN8_GAPSUNIT_CLOCK_GATE_DISABLE (1<<24)
4f1ca9e9 8045#define GEN8_SDEUNIT_CLOCK_GATE_DISABLE (1<<14)
868434c5 8046#define GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ (1<<28)
4f1ca9e9 8047
f0f59a00
VS
8048#define GEN6_GFXPAUSE _MMIO(0xA000)
8049#define GEN6_RPNSWREQ _MMIO(0xA008)
8fd26859
CW
8050#define GEN6_TURBO_DISABLE (1<<31)
8051#define GEN6_FREQUENCY(x) ((x)<<25)
92bd1bf0 8052#define HSW_FREQUENCY(x) ((x)<<24)
de43ae9d 8053#define GEN9_FREQUENCY(x) ((x)<<23)
8fd26859
CW
8054#define GEN6_OFFSET(x) ((x)<<19)
8055#define GEN6_AGGRESSIVE_TURBO (0<<15)
f0f59a00
VS
8056#define GEN6_RC_VIDEO_FREQ _MMIO(0xA00C)
8057#define GEN6_RC_CONTROL _MMIO(0xA090)
8fd26859
CW
8058#define GEN6_RC_CTL_RC6pp_ENABLE (1<<16)
8059#define GEN6_RC_CTL_RC6p_ENABLE (1<<17)
8060#define GEN6_RC_CTL_RC6_ENABLE (1<<18)
8061#define GEN6_RC_CTL_RC1e_ENABLE (1<<20)
8062#define GEN6_RC_CTL_RC7_ENABLE (1<<22)
6b88f295 8063#define VLV_RC_CTL_CTX_RST_PARALLEL (1<<24)
0a073b84 8064#define GEN7_RC_CTL_TO_MODE (1<<28)
8fd26859
CW
8065#define GEN6_RC_CTL_EI_MODE(x) ((x)<<27)
8066#define GEN6_RC_CTL_HW_ENABLE (1<<31)
f0f59a00
VS
8067#define GEN6_RP_DOWN_TIMEOUT _MMIO(0xA010)
8068#define GEN6_RP_INTERRUPT_LIMITS _MMIO(0xA014)
8069#define GEN6_RPSTAT1 _MMIO(0xA01C)
ccab5c82 8070#define GEN6_CAGF_SHIFT 8
f82855d3 8071#define HSW_CAGF_SHIFT 7
de43ae9d 8072#define GEN9_CAGF_SHIFT 23
ccab5c82 8073#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 8074#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
de43ae9d 8075#define GEN9_CAGF_MASK (0x1ff << GEN9_CAGF_SHIFT)
f0f59a00 8076#define GEN6_RP_CONTROL _MMIO(0xA024)
8fd26859 8077#define GEN6_RP_MEDIA_TURBO (1<<11)
6ed55ee7
BW
8078#define GEN6_RP_MEDIA_MODE_MASK (3<<9)
8079#define GEN6_RP_MEDIA_HW_TURBO_MODE (3<<9)
8080#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2<<9)
8081#define GEN6_RP_MEDIA_HW_MODE (1<<9)
8082#define GEN6_RP_MEDIA_SW_MODE (0<<9)
8fd26859
CW
8083#define GEN6_RP_MEDIA_IS_GFX (1<<8)
8084#define GEN6_RP_ENABLE (1<<7)
ccab5c82
JB
8085#define GEN6_RP_UP_IDLE_MIN (0x1<<3)
8086#define GEN6_RP_UP_BUSY_AVG (0x2<<3)
8087#define GEN6_RP_UP_BUSY_CONT (0x4<<3)
dd75fdc8 8088#define GEN6_RP_DOWN_IDLE_AVG (0x2<<0)
ccab5c82 8089#define GEN6_RP_DOWN_IDLE_CONT (0x1<<0)
f0f59a00
VS
8090#define GEN6_RP_UP_THRESHOLD _MMIO(0xA02C)
8091#define GEN6_RP_DOWN_THRESHOLD _MMIO(0xA030)
8092#define GEN6_RP_CUR_UP_EI _MMIO(0xA050)
7466c291
CW
8093#define GEN6_RP_EI_MASK 0xffffff
8094#define GEN6_CURICONT_MASK GEN6_RP_EI_MASK
f0f59a00 8095#define GEN6_RP_CUR_UP _MMIO(0xA054)
7466c291 8096#define GEN6_CURBSYTAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8097#define GEN6_RP_PREV_UP _MMIO(0xA058)
8098#define GEN6_RP_CUR_DOWN_EI _MMIO(0xA05C)
7466c291 8099#define GEN6_CURIAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8100#define GEN6_RP_CUR_DOWN _MMIO(0xA060)
8101#define GEN6_RP_PREV_DOWN _MMIO(0xA064)
8102#define GEN6_RP_UP_EI _MMIO(0xA068)
8103#define GEN6_RP_DOWN_EI _MMIO(0xA06C)
8104#define GEN6_RP_IDLE_HYSTERSIS _MMIO(0xA070)
8105#define GEN6_RPDEUHWTC _MMIO(0xA080)
8106#define GEN6_RPDEUC _MMIO(0xA084)
8107#define GEN6_RPDEUCSW _MMIO(0xA088)
8108#define GEN6_RC_STATE _MMIO(0xA094)
fc619841
ID
8109#define RC_SW_TARGET_STATE_SHIFT 16
8110#define RC_SW_TARGET_STATE_MASK (7 << RC_SW_TARGET_STATE_SHIFT)
f0f59a00
VS
8111#define GEN6_RC1_WAKE_RATE_LIMIT _MMIO(0xA098)
8112#define GEN6_RC6_WAKE_RATE_LIMIT _MMIO(0xA09C)
8113#define GEN6_RC6pp_WAKE_RATE_LIMIT _MMIO(0xA0A0)
0aab201b 8114#define GEN10_MEDIA_WAKE_RATE_LIMIT _MMIO(0xA0A0)
f0f59a00
VS
8115#define GEN6_RC_EVALUATION_INTERVAL _MMIO(0xA0A8)
8116#define GEN6_RC_IDLE_HYSTERSIS _MMIO(0xA0AC)
8117#define GEN6_RC_SLEEP _MMIO(0xA0B0)
8118#define GEN6_RCUBMABDTMR _MMIO(0xA0B0)
8119#define GEN6_RC1e_THRESHOLD _MMIO(0xA0B4)
8120#define GEN6_RC6_THRESHOLD _MMIO(0xA0B8)
8121#define GEN6_RC6p_THRESHOLD _MMIO(0xA0BC)
8122#define VLV_RCEDATA _MMIO(0xA0BC)
8123#define GEN6_RC6pp_THRESHOLD _MMIO(0xA0C0)
8124#define GEN6_PMINTRMSK _MMIO(0xA168)
655d49ef 8125#define GEN8_PMINTR_DISABLE_REDIRECT_TO_GUC (1<<31)
9735b04d 8126#define ARAT_EXPIRED_INTRMSK (1<<9)
fc619841 8127#define GEN8_MISC_CTRL0 _MMIO(0xA180)
f0f59a00
VS
8128#define VLV_PWRDWNUPCTL _MMIO(0xA294)
8129#define GEN9_MEDIA_PG_IDLE_HYSTERESIS _MMIO(0xA0C4)
8130#define GEN9_RENDER_PG_IDLE_HYSTERESIS _MMIO(0xA0C8)
8131#define GEN9_PG_ENABLE _MMIO(0xA210)
a4104c55
SK
8132#define GEN9_RENDER_PG_ENABLE (1<<0)
8133#define GEN9_MEDIA_PG_ENABLE (1<<1)
fc619841
ID
8134#define GEN8_PUSHBUS_CONTROL _MMIO(0xA248)
8135#define GEN8_PUSHBUS_ENABLE _MMIO(0xA250)
8136#define GEN8_PUSHBUS_SHIFT _MMIO(0xA25C)
8fd26859 8137
f0f59a00 8138#define VLV_CHICKEN_3 _MMIO(VLV_DISPLAY_BASE + 0x7040C)
a9da9bce
GS
8139#define PIXEL_OVERLAP_CNT_MASK (3 << 30)
8140#define PIXEL_OVERLAP_CNT_SHIFT 30
8141
f0f59a00
VS
8142#define GEN6_PMISR _MMIO(0x44020)
8143#define GEN6_PMIMR _MMIO(0x44024) /* rps_lock */
8144#define GEN6_PMIIR _MMIO(0x44028)
8145#define GEN6_PMIER _MMIO(0x4402C)
8fd26859
CW
8146#define GEN6_PM_MBOX_EVENT (1<<25)
8147#define GEN6_PM_THERMAL_EVENT (1<<24)
8148#define GEN6_PM_RP_DOWN_TIMEOUT (1<<6)
8149#define GEN6_PM_RP_UP_THRESHOLD (1<<5)
8150#define GEN6_PM_RP_DOWN_THRESHOLD (1<<4)
8151#define GEN6_PM_RP_UP_EI_EXPIRED (1<<2)
8152#define GEN6_PM_RP_DOWN_EI_EXPIRED (1<<1)
4848405c 8153#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_THRESHOLD | \
4912d041
BW
8154 GEN6_PM_RP_DOWN_THRESHOLD | \
8155 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 8156
f0f59a00 8157#define GEN7_GT_SCRATCH(i) _MMIO(0x4F100 + (i) * 4)
9e72b46c
ID
8158#define GEN7_GT_SCRATCH_REG_NUM 8
8159
f0f59a00 8160#define VLV_GTLC_SURVIVABILITY_REG _MMIO(0x130098)
76c3552f
D
8161#define VLV_GFX_CLK_STATUS_BIT (1<<3)
8162#define VLV_GFX_CLK_FORCE_ON_BIT (1<<2)
8163
f0f59a00
VS
8164#define GEN6_GT_GFX_RC6_LOCKED _MMIO(0x138104)
8165#define VLV_COUNTER_CONTROL _MMIO(0x138104)
49798eb2 8166#define VLV_COUNT_RANGE_HIGH (1<<15)
31685c25
D
8167#define VLV_MEDIA_RC0_COUNT_EN (1<<5)
8168#define VLV_RENDER_RC0_COUNT_EN (1<<4)
49798eb2
JB
8169#define VLV_MEDIA_RC6_COUNT_EN (1<<1)
8170#define VLV_RENDER_RC6_COUNT_EN (1<<0)
f0f59a00
VS
8171#define GEN6_GT_GFX_RC6 _MMIO(0x138108)
8172#define VLV_GT_RENDER_RC6 _MMIO(0x138108)
8173#define VLV_GT_MEDIA_RC6 _MMIO(0x13810C)
9cc19be5 8174
f0f59a00
VS
8175#define GEN6_GT_GFX_RC6p _MMIO(0x13810C)
8176#define GEN6_GT_GFX_RC6pp _MMIO(0x138110)
8177#define VLV_RENDER_C0_COUNT _MMIO(0x138118)
8178#define VLV_MEDIA_C0_COUNT _MMIO(0x13811C)
cce66a28 8179
f0f59a00 8180#define GEN6_PCODE_MAILBOX _MMIO(0x138124)
8fd26859 8181#define GEN6_PCODE_READY (1<<31)
87660502
L
8182#define GEN6_PCODE_ERROR_MASK 0xFF
8183#define GEN6_PCODE_SUCCESS 0x0
8184#define GEN6_PCODE_ILLEGAL_CMD 0x1
8185#define GEN6_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x2
8186#define GEN6_PCODE_TIMEOUT 0x3
8187#define GEN6_PCODE_UNIMPLEMENTED_CMD 0xFF
8188#define GEN7_PCODE_TIMEOUT 0x2
8189#define GEN7_PCODE_ILLEGAL_DATA 0x3
8190#define GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x10
3e8ddd9e
VS
8191#define GEN6_PCODE_WRITE_RC6VIDS 0x4
8192#define GEN6_PCODE_READ_RC6VIDS 0x5
9043ae02
DL
8193#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
8194#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
b432e5cf 8195#define BDW_PCODE_DISPLAY_FREQ_CHANGE_REQ 0x18
57520bc5
DL
8196#define GEN9_PCODE_READ_MEM_LATENCY 0x6
8197#define GEN9_MEM_LATENCY_LEVEL_MASK 0xFF
8198#define GEN9_MEM_LATENCY_LEVEL_1_5_SHIFT 8
8199#define GEN9_MEM_LATENCY_LEVEL_2_6_SHIFT 16
8200#define GEN9_MEM_LATENCY_LEVEL_3_7_SHIFT 24
ee5e5e7a 8201#define SKL_PCODE_LOAD_HDCP_KEYS 0x5
5d96d8af
DL
8202#define SKL_PCODE_CDCLK_CONTROL 0x7
8203#define SKL_CDCLK_PREPARE_FOR_CHANGE 0x3
8204#define SKL_CDCLK_READY_FOR_CHANGE 0x1
9043ae02
DL
8205#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
8206#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
8207#define GEN6_READ_OC_PARAMS 0xc
515b2392
PZ
8208#define GEN6_PCODE_READ_D_COMP 0x10
8209#define GEN6_PCODE_WRITE_D_COMP 0x11
f8437dd1 8210#define HSW_PCODE_DE_WRITE_FREQ_REQ 0x17
2a114cc1 8211#define DISPLAY_IPS_CONTROL 0x19
61843f0e
VS
8212 /* See also IPS_CTL */
8213#define IPS_PCODE_CONTROL (1 << 30)
3e8ddd9e 8214#define HSW_PCODE_DYNAMIC_DUTY_CYCLE_CONTROL 0x1A
656d1b89
L
8215#define GEN9_PCODE_SAGV_CONTROL 0x21
8216#define GEN9_SAGV_DISABLE 0x0
8217#define GEN9_SAGV_IS_DISABLED 0x1
8218#define GEN9_SAGV_ENABLE 0x3
f0f59a00 8219#define GEN6_PCODE_DATA _MMIO(0x138128)
23b2f8bb 8220#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 8221#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
f0f59a00 8222#define GEN6_PCODE_DATA1 _MMIO(0x13812C)
8fd26859 8223
f0f59a00 8224#define GEN6_GT_CORE_STATUS _MMIO(0x138060)
4d85529d
BW
8225#define GEN6_CORE_CPD_STATE_MASK (7<<4)
8226#define GEN6_RCn_MASK 7
8227#define GEN6_RC0 0
8228#define GEN6_RC3 2
8229#define GEN6_RC6 3
8230#define GEN6_RC7 4
8231
f0f59a00 8232#define GEN8_GT_SLICE_INFO _MMIO(0x138064)
91bedd34
ŁD
8233#define GEN8_LSLICESTAT_MASK 0x7
8234
f0f59a00
VS
8235#define CHV_POWER_SS0_SIG1 _MMIO(0xa720)
8236#define CHV_POWER_SS1_SIG1 _MMIO(0xa728)
5575f03a
JM
8237#define CHV_SS_PG_ENABLE (1<<1)
8238#define CHV_EU08_PG_ENABLE (1<<9)
8239#define CHV_EU19_PG_ENABLE (1<<17)
8240#define CHV_EU210_PG_ENABLE (1<<25)
8241
f0f59a00
VS
8242#define CHV_POWER_SS0_SIG2 _MMIO(0xa724)
8243#define CHV_POWER_SS1_SIG2 _MMIO(0xa72c)
5575f03a
JM
8244#define CHV_EU311_PG_ENABLE (1<<1)
8245
f0f59a00 8246#define GEN9_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + (slice)*0x4)
f8c3dcf9
RV
8247#define GEN10_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + ((slice) / 3) * 0x34 + \
8248 ((slice) % 3) * 0x4)
7f992aba 8249#define GEN9_PGCTL_SLICE_ACK (1 << 0)
1c046bc1 8250#define GEN9_PGCTL_SS_ACK(subslice) (1 << (2 + (subslice)*2))
f8c3dcf9 8251#define GEN10_PGCTL_VALID_SS_MASK(slice) ((slice) == 0 ? 0x7F : 0x1F)
7f992aba 8252
f0f59a00 8253#define GEN9_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + (slice)*0x8)
f8c3dcf9
RV
8254#define GEN10_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + ((slice) / 3) * 0x30 + \
8255 ((slice) % 3) * 0x8)
f0f59a00 8256#define GEN9_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + (slice)*0x8)
f8c3dcf9
RV
8257#define GEN10_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + ((slice) / 3) * 0x30 + \
8258 ((slice) % 3) * 0x8)
7f992aba
JM
8259#define GEN9_PGCTL_SSA_EU08_ACK (1 << 0)
8260#define GEN9_PGCTL_SSA_EU19_ACK (1 << 2)
8261#define GEN9_PGCTL_SSA_EU210_ACK (1 << 4)
8262#define GEN9_PGCTL_SSA_EU311_ACK (1 << 6)
8263#define GEN9_PGCTL_SSB_EU08_ACK (1 << 8)
8264#define GEN9_PGCTL_SSB_EU19_ACK (1 << 10)
8265#define GEN9_PGCTL_SSB_EU210_ACK (1 << 12)
8266#define GEN9_PGCTL_SSB_EU311_ACK (1 << 14)
8267
f0f59a00 8268#define GEN7_MISCCPCTL _MMIO(0x9424)
33a732f4
AD
8269#define GEN7_DOP_CLOCK_GATE_ENABLE (1<<0)
8270#define GEN8_DOP_CLOCK_GATE_CFCLK_ENABLE (1<<2)
8271#define GEN8_DOP_CLOCK_GATE_GUC_ENABLE (1<<4)
5b88abac 8272#define GEN8_DOP_CLOCK_GATE_MEDIA_ENABLE (1<<6)
e3689190 8273
5bcebe76
OM
8274#define GEN8_GARBCNTL _MMIO(0xB004)
8275#define GEN9_GAPS_TSV_CREDIT_DISABLE (1 << 7)
8276#define GEN11_ARBITRATION_PRIO_ORDER_MASK (0x3f << 22)
d41bab68
OM
8277#define GEN11_HASH_CTRL_EXCL_MASK (0x7f << 0)
8278#define GEN11_HASH_CTRL_EXCL_BIT0 (1 << 0)
8279
8280#define GEN11_GLBLINVL _MMIO(0xB404)
8281#define GEN11_BANK_HASH_ADDR_EXCL_MASK (0x7f << 5)
8282#define GEN11_BANK_HASH_ADDR_EXCL_BIT0 (1 << 5)
245d9667 8283
d65dc3e4
OM
8284#define GEN10_DFR_RATIO_EN_AND_CHICKEN _MMIO(0x9550)
8285#define DFR_DISABLE (1 << 9)
8286
f4a35714
OM
8287#define GEN11_GACB_PERF_CTRL _MMIO(0x4B80)
8288#define GEN11_HASH_CTRL_MASK (0x3 << 12 | 0xf << 0)
8289#define GEN11_HASH_CTRL_BIT0 (1 << 0)
8290#define GEN11_HASH_CTRL_BIT4 (1 << 12)
8291
6b967dc3
OM
8292#define GEN11_LSN_UNSLCVC _MMIO(0xB43C)
8293#define GEN11_LSN_UNSLCVC_GAFS_HALF_CL2_MAXALLOC (1 << 9)
8294#define GEN11_LSN_UNSLCVC_GAFS_HALF_SF_MAXALLOC (1 << 7)
8295
908ae051
OM
8296#define GAMW_ECO_DEV_RW_IA_REG _MMIO(0x4080)
8297#define GAMW_ECO_DEV_CTX_RELOAD_DISABLE (1 << 7)
8298
e3689190 8299/* IVYBRIDGE DPF */
f0f59a00 8300#define GEN7_L3CDERRST1(slice) _MMIO(0xB008 + (slice) * 0x200) /* L3CD Error Status 1 */
e3689190
BW
8301#define GEN7_L3CDERRST1_ROW_MASK (0x7ff<<14)
8302#define GEN7_PARITY_ERROR_VALID (1<<13)
8303#define GEN7_L3CDERRST1_BANK_MASK (3<<11)
8304#define GEN7_L3CDERRST1_SUBBANK_MASK (7<<8)
8305#define GEN7_PARITY_ERROR_ROW(reg) \
8306 ((reg & GEN7_L3CDERRST1_ROW_MASK) >> 14)
8307#define GEN7_PARITY_ERROR_BANK(reg) \
8308 ((reg & GEN7_L3CDERRST1_BANK_MASK) >> 11)
8309#define GEN7_PARITY_ERROR_SUBBANK(reg) \
8310 ((reg & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
8311#define GEN7_L3CDERRST1_ENABLE (1<<7)
8312
f0f59a00 8313#define GEN7_L3LOG(slice, i) _MMIO(0xB070 + (slice) * 0x200 + (i) * 4)
b9524a1e
BW
8314#define GEN7_L3LOG_SIZE 0x80
8315
f0f59a00
VS
8316#define GEN7_HALF_SLICE_CHICKEN1 _MMIO(0xe100) /* IVB GT1 + VLV */
8317#define GEN7_HALF_SLICE_CHICKEN1_GT2 _MMIO(0xf100)
12f3382b 8318#define GEN7_MAX_PS_THREAD_DEP (8<<12)
4c2e7a5f 8319#define GEN7_SINGLE_SUBSCAN_DISPATCH_ENABLE (1<<10)
983b4b9d 8320#define GEN7_SBE_SS_CACHE_DISPATCH_PORT_SHARING_DISABLE (1<<4)
12f3382b
JB
8321#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1<<3)
8322
f0f59a00 8323#define GEN9_HALF_SLICE_CHICKEN5 _MMIO(0xe188)
3ca5da43 8324#define GEN9_DG_MIRROR_FIX_ENABLE (1<<5)
e2db7071 8325#define GEN9_CCS_TLB_PREFETCH_ENABLE (1<<3)
3ca5da43 8326
f0f59a00 8327#define GEN8_ROW_CHICKEN _MMIO(0xe4f0)
950b2aae 8328#define FLOW_CONTROL_ENABLE (1<<15)
c8966e10 8329#define PARTIAL_INSTRUCTION_SHOOTDOWN_DISABLE (1<<8)
1411e6a5 8330#define STALL_DOP_GATING_DISABLE (1<<5)
aa9f4c4f 8331#define THROTTLE_12_5 (7<<2)
a2b16588 8332#define DISABLE_EARLY_EOT (1<<1)
c8966e10 8333
f0f59a00
VS
8334#define GEN7_ROW_CHICKEN2 _MMIO(0xe4f4)
8335#define GEN7_ROW_CHICKEN2_GT2 _MMIO(0xf4f4)
3c7ab278
OM
8336#define DOP_CLOCK_GATING_DISABLE (1 << 0)
8337#define PUSH_CONSTANT_DEREF_DISABLE (1 << 8)
8338#define GEN11_TDL_CLOCK_GATING_FIX_DISABLE (1 << 1)
8ab43976 8339
f0f59a00 8340#define HSW_ROW_CHICKEN3 _MMIO(0xe49c)
f3fc4884
FJ
8341#define HSW_ROW_CHICKEN3_L3_GLOBAL_ATOMICS_DISABLE (1 << 6)
8342
f0f59a00 8343#define HALF_SLICE_CHICKEN2 _MMIO(0xe180)
6b6d5626
RB
8344#define GEN8_ST_PO_DISABLE (1<<13)
8345
f0f59a00 8346#define HALF_SLICE_CHICKEN3 _MMIO(0xe184)
94411593 8347#define HSW_SAMPLE_C_PERFORMANCE (1<<9)
fd392b60 8348#define GEN8_CENTROID_PIXEL_OPT_DIS (1<<8)
8424171e 8349#define GEN9_DISABLE_OCL_OOB_SUPPRESS_LOGIC (1<<5)
392572fe 8350#define CNL_FAST_ANISO_L1_BANKING_FIX (1<<4)
bf66347c 8351#define GEN8_SAMPLER_POWER_BYPASS_DIS (1<<1)
fd392b60 8352
f0f59a00 8353#define GEN9_HALF_SLICE_CHICKEN7 _MMIO(0xe194)
93564044 8354#define GEN9_SAMPLER_HASH_COMPRESSED_READ_ADDR (1<<8)
cac23df4 8355#define GEN9_ENABLE_YV12_BUGFIX (1<<4)
bfd8ad4e 8356#define GEN9_ENABLE_GPGPU_PREEMPTION (1<<2)
cac23df4 8357
c46f111f 8358/* Audio */
f0f59a00 8359#define G4X_AUD_VID_DID _MMIO(dev_priv->info.display_mmio_offset + 0x62020)
c46f111f
JN
8360#define INTEL_AUDIO_DEVCL 0x808629FB
8361#define INTEL_AUDIO_DEVBLC 0x80862801
8362#define INTEL_AUDIO_DEVCTG 0x80862802
e0dac65e 8363
f0f59a00 8364#define G4X_AUD_CNTL_ST _MMIO(0x620B4)
c46f111f
JN
8365#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
8366#define G4X_ELDV_DEVCTG (1 << 14)
8367#define G4X_ELD_ADDR_MASK (0xf << 5)
8368#define G4X_ELD_ACK (1 << 4)
f0f59a00 8369#define G4X_HDMIW_HDMIEDID _MMIO(0x6210C)
e0dac65e 8370
c46f111f
JN
8371#define _IBX_HDMIW_HDMIEDID_A 0xE2050
8372#define _IBX_HDMIW_HDMIEDID_B 0xE2150
f0f59a00
VS
8373#define IBX_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _IBX_HDMIW_HDMIEDID_A, \
8374 _IBX_HDMIW_HDMIEDID_B)
c46f111f
JN
8375#define _IBX_AUD_CNTL_ST_A 0xE20B4
8376#define _IBX_AUD_CNTL_ST_B 0xE21B4
f0f59a00
VS
8377#define IBX_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CNTL_ST_A, \
8378 _IBX_AUD_CNTL_ST_B)
c46f111f
JN
8379#define IBX_ELD_BUFFER_SIZE_MASK (0x1f << 10)
8380#define IBX_ELD_ADDRESS_MASK (0x1f << 5)
8381#define IBX_ELD_ACK (1 << 4)
f0f59a00 8382#define IBX_AUD_CNTL_ST2 _MMIO(0xE20C0)
82910ac6
JN
8383#define IBX_CP_READY(port) ((1 << 1) << (((port) - 1) * 4))
8384#define IBX_ELD_VALID(port) ((1 << 0) << (((port) - 1) * 4))
1202b4c6 8385
c46f111f
JN
8386#define _CPT_HDMIW_HDMIEDID_A 0xE5050
8387#define _CPT_HDMIW_HDMIEDID_B 0xE5150
f0f59a00 8388#define CPT_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _CPT_HDMIW_HDMIEDID_A, _CPT_HDMIW_HDMIEDID_B)
c46f111f
JN
8389#define _CPT_AUD_CNTL_ST_A 0xE50B4
8390#define _CPT_AUD_CNTL_ST_B 0xE51B4
f0f59a00
VS
8391#define CPT_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CNTL_ST_A, _CPT_AUD_CNTL_ST_B)
8392#define CPT_AUD_CNTRL_ST2 _MMIO(0xE50C0)
e0dac65e 8393
c46f111f
JN
8394#define _VLV_HDMIW_HDMIEDID_A (VLV_DISPLAY_BASE + 0x62050)
8395#define _VLV_HDMIW_HDMIEDID_B (VLV_DISPLAY_BASE + 0x62150)
f0f59a00 8396#define VLV_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _VLV_HDMIW_HDMIEDID_A, _VLV_HDMIW_HDMIEDID_B)
c46f111f
JN
8397#define _VLV_AUD_CNTL_ST_A (VLV_DISPLAY_BASE + 0x620B4)
8398#define _VLV_AUD_CNTL_ST_B (VLV_DISPLAY_BASE + 0x621B4)
f0f59a00
VS
8399#define VLV_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CNTL_ST_A, _VLV_AUD_CNTL_ST_B)
8400#define VLV_AUD_CNTL_ST2 _MMIO(VLV_DISPLAY_BASE + 0x620C0)
9ca2fe73 8401
ae662d31
EA
8402/* These are the 4 32-bit write offset registers for each stream
8403 * output buffer. It determines the offset from the
8404 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
8405 */
f0f59a00 8406#define GEN7_SO_WRITE_OFFSET(n) _MMIO(0x5280 + (n) * 4)
ae662d31 8407
c46f111f
JN
8408#define _IBX_AUD_CONFIG_A 0xe2000
8409#define _IBX_AUD_CONFIG_B 0xe2100
f0f59a00 8410#define IBX_AUD_CFG(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CONFIG_A, _IBX_AUD_CONFIG_B)
c46f111f
JN
8411#define _CPT_AUD_CONFIG_A 0xe5000
8412#define _CPT_AUD_CONFIG_B 0xe5100
f0f59a00 8413#define CPT_AUD_CFG(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CONFIG_A, _CPT_AUD_CONFIG_B)
c46f111f
JN
8414#define _VLV_AUD_CONFIG_A (VLV_DISPLAY_BASE + 0x62000)
8415#define _VLV_AUD_CONFIG_B (VLV_DISPLAY_BASE + 0x62100)
f0f59a00 8416#define VLV_AUD_CFG(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CONFIG_A, _VLV_AUD_CONFIG_B)
9ca2fe73 8417
b6daa025
WF
8418#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
8419#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
8420#define AUD_CONFIG_UPPER_N_SHIFT 20
c46f111f 8421#define AUD_CONFIG_UPPER_N_MASK (0xff << 20)
b6daa025 8422#define AUD_CONFIG_LOWER_N_SHIFT 4
c46f111f 8423#define AUD_CONFIG_LOWER_N_MASK (0xfff << 4)
2561389a
JN
8424#define AUD_CONFIG_N_MASK (AUD_CONFIG_UPPER_N_MASK | AUD_CONFIG_LOWER_N_MASK)
8425#define AUD_CONFIG_N(n) \
8426 (((((n) >> 12) & 0xff) << AUD_CONFIG_UPPER_N_SHIFT) | \
8427 (((n) & 0xfff) << AUD_CONFIG_LOWER_N_SHIFT))
b6daa025 8428#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
1a91510d
JN
8429#define AUD_CONFIG_PIXEL_CLOCK_HDMI_MASK (0xf << 16)
8430#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25175 (0 << 16)
8431#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25200 (1 << 16)
8432#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27000 (2 << 16)
8433#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27027 (3 << 16)
8434#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54000 (4 << 16)
8435#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54054 (5 << 16)
8436#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74176 (6 << 16)
8437#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74250 (7 << 16)
8438#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148352 (8 << 16)
8439#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148500 (9 << 16)
b6daa025
WF
8440#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
8441
9a78b6cc 8442/* HSW Audio */
c46f111f
JN
8443#define _HSW_AUD_CONFIG_A 0x65000
8444#define _HSW_AUD_CONFIG_B 0x65100
f0f59a00 8445#define HSW_AUD_CFG(pipe) _MMIO_PIPE(pipe, _HSW_AUD_CONFIG_A, _HSW_AUD_CONFIG_B)
c46f111f
JN
8446
8447#define _HSW_AUD_MISC_CTRL_A 0x65010
8448#define _HSW_AUD_MISC_CTRL_B 0x65110
f0f59a00 8449#define HSW_AUD_MISC_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_MISC_CTRL_A, _HSW_AUD_MISC_CTRL_B)
c46f111f 8450
6014ac12
LY
8451#define _HSW_AUD_M_CTS_ENABLE_A 0x65028
8452#define _HSW_AUD_M_CTS_ENABLE_B 0x65128
8453#define HSW_AUD_M_CTS_ENABLE(pipe) _MMIO_PIPE(pipe, _HSW_AUD_M_CTS_ENABLE_A, _HSW_AUD_M_CTS_ENABLE_B)
8454#define AUD_M_CTS_M_VALUE_INDEX (1 << 21)
8455#define AUD_M_CTS_M_PROG_ENABLE (1 << 20)
8456#define AUD_CONFIG_M_MASK 0xfffff
8457
c46f111f
JN
8458#define _HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4
8459#define _HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4
f0f59a00 8460#define HSW_AUD_DIP_ELD_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIP_ELD_CTRL_ST_A, _HSW_AUD_DIP_ELD_CTRL_ST_B)
9a78b6cc
WX
8461
8462/* Audio Digital Converter */
c46f111f
JN
8463#define _HSW_AUD_DIG_CNVT_1 0x65080
8464#define _HSW_AUD_DIG_CNVT_2 0x65180
f0f59a00 8465#define AUD_DIG_CNVT(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIG_CNVT_1, _HSW_AUD_DIG_CNVT_2)
c46f111f
JN
8466#define DIP_PORT_SEL_MASK 0x3
8467
8468#define _HSW_AUD_EDID_DATA_A 0x65050
8469#define _HSW_AUD_EDID_DATA_B 0x65150
f0f59a00 8470#define HSW_AUD_EDID_DATA(pipe) _MMIO_PIPE(pipe, _HSW_AUD_EDID_DATA_A, _HSW_AUD_EDID_DATA_B)
c46f111f 8471
f0f59a00
VS
8472#define HSW_AUD_PIPE_CONV_CFG _MMIO(0x6507c)
8473#define HSW_AUD_PIN_ELD_CP_VLD _MMIO(0x650c0)
82910ac6
JN
8474#define AUDIO_INACTIVE(trans) ((1 << 3) << ((trans) * 4))
8475#define AUDIO_OUTPUT_ENABLE(trans) ((1 << 2) << ((trans) * 4))
8476#define AUDIO_CP_READY(trans) ((1 << 1) << ((trans) * 4))
8477#define AUDIO_ELD_VALID(trans) ((1 << 0) << ((trans) * 4))
9a78b6cc 8478
f0f59a00 8479#define HSW_AUD_CHICKENBIT _MMIO(0x65f10)
632f3ab9
LH
8480#define SKL_AUD_CODEC_WAKE_SIGNAL (1 << 15)
8481
9eb3a752 8482/* HSW Power Wells */
9c3a16c8
ID
8483#define _HSW_PWR_WELL_CTL1 0x45400
8484#define _HSW_PWR_WELL_CTL2 0x45404
8485#define _HSW_PWR_WELL_CTL3 0x45408
8486#define _HSW_PWR_WELL_CTL4 0x4540C
8487
8488/*
8489 * Each power well control register contains up to 16 (request, status) HW
8490 * flag tuples. The register index and HW flag shift is determined by the
8491 * power well ID (see i915_power_well_id). There are 4 possible sources of
8492 * power well requests each source having its own set of control registers:
8493 * BIOS, DRIVER, KVMR, DEBUG.
8494 */
8495#define _HSW_PW_REG_IDX(pw) ((pw) >> 4)
8496#define _HSW_PW_SHIFT(pw) (((pw) & 0xf) * 2)
8497/* TODO: Add all PWR_WELL_CTL registers below for new platforms */
8498#define HSW_PWR_WELL_CTL_BIOS(pw) _MMIO(_PICK(_HSW_PW_REG_IDX(pw), \
8499 _HSW_PWR_WELL_CTL1))
8500#define HSW_PWR_WELL_CTL_DRIVER(pw) _MMIO(_PICK(_HSW_PW_REG_IDX(pw), \
8501 _HSW_PWR_WELL_CTL2))
8502#define HSW_PWR_WELL_CTL_KVMR _MMIO(_HSW_PWR_WELL_CTL3)
8503#define HSW_PWR_WELL_CTL_DEBUG(pw) _MMIO(_PICK(_HSW_PW_REG_IDX(pw), \
8504 _HSW_PWR_WELL_CTL4))
8505
1af474fe
ID
8506#define HSW_PWR_WELL_CTL_REQ(pw) (1 << (_HSW_PW_SHIFT(pw) + 1))
8507#define HSW_PWR_WELL_CTL_STATE(pw) (1 << _HSW_PW_SHIFT(pw))
f0f59a00 8508#define HSW_PWR_WELL_CTL5 _MMIO(0x45410)
9eb3a752
ED
8509#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1<<31)
8510#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1<<20)
5e49cea6 8511#define HSW_PWR_WELL_FORCE_ON (1<<19)
f0f59a00 8512#define HSW_PWR_WELL_CTL6 _MMIO(0x45414)
9eb3a752 8513
94dd5138 8514/* SKL Fuse Status */
b2891eb2
ID
8515enum skl_power_gate {
8516 SKL_PG0,
8517 SKL_PG1,
8518 SKL_PG2,
8519};
8520
f0f59a00 8521#define SKL_FUSE_STATUS _MMIO(0x42000)
b2891eb2
ID
8522#define SKL_FUSE_DOWNLOAD_STATUS (1<<31)
8523/* PG0 (HW control->no power well ID), PG1..PG2 (SKL_DISP_PW1..SKL_DISP_PW2) */
8524#define SKL_PW_TO_PG(pw) ((pw) - SKL_DISP_PW_1 + SKL_PG1)
8525#define SKL_FUSE_PG_DIST_STATUS(pg) (1 << (27 - (pg)))
94dd5138 8526
c559c2a0 8527#define _CNL_AUX_REG_IDX(pw) ((pw) - 9)
ddd39e4b
LDM
8528#define _CNL_AUX_ANAOVRD1_B 0x162250
8529#define _CNL_AUX_ANAOVRD1_C 0x162210
8530#define _CNL_AUX_ANAOVRD1_D 0x1622D0
b1ae6a8b 8531#define _CNL_AUX_ANAOVRD1_F 0x162A90
ddd39e4b
LDM
8532#define CNL_AUX_ANAOVRD1(pw) _MMIO(_PICK(_CNL_AUX_REG_IDX(pw), \
8533 _CNL_AUX_ANAOVRD1_B, \
8534 _CNL_AUX_ANAOVRD1_C, \
b1ae6a8b
RV
8535 _CNL_AUX_ANAOVRD1_D, \
8536 _CNL_AUX_ANAOVRD1_F))
ddd39e4b
LDM
8537#define CNL_AUX_ANAOVRD1_ENABLE (1<<16)
8538#define CNL_AUX_ANAOVRD1_LDO_BYPASS (1<<23)
8539
ee5e5e7a 8540/* HDCP Key Registers */
2834d9df 8541#define HDCP_KEY_CONF _MMIO(0x66c00)
ee5e5e7a
SP
8542#define HDCP_AKSV_SEND_TRIGGER BIT(31)
8543#define HDCP_CLEAR_KEYS_TRIGGER BIT(30)
fdddd08c 8544#define HDCP_KEY_LOAD_TRIGGER BIT(8)
2834d9df
R
8545#define HDCP_KEY_STATUS _MMIO(0x66c04)
8546#define HDCP_FUSE_IN_PROGRESS BIT(7)
ee5e5e7a 8547#define HDCP_FUSE_ERROR BIT(6)
2834d9df
R
8548#define HDCP_FUSE_DONE BIT(5)
8549#define HDCP_KEY_LOAD_STATUS BIT(1)
ee5e5e7a 8550#define HDCP_KEY_LOAD_DONE BIT(0)
2834d9df
R
8551#define HDCP_AKSV_LO _MMIO(0x66c10)
8552#define HDCP_AKSV_HI _MMIO(0x66c14)
ee5e5e7a
SP
8553
8554/* HDCP Repeater Registers */
2834d9df
R
8555#define HDCP_REP_CTL _MMIO(0x66d00)
8556#define HDCP_DDIB_REP_PRESENT BIT(30)
8557#define HDCP_DDIA_REP_PRESENT BIT(29)
8558#define HDCP_DDIC_REP_PRESENT BIT(28)
8559#define HDCP_DDID_REP_PRESENT BIT(27)
8560#define HDCP_DDIF_REP_PRESENT BIT(26)
8561#define HDCP_DDIE_REP_PRESENT BIT(25)
ee5e5e7a
SP
8562#define HDCP_DDIB_SHA1_M0 (1 << 20)
8563#define HDCP_DDIA_SHA1_M0 (2 << 20)
8564#define HDCP_DDIC_SHA1_M0 (3 << 20)
8565#define HDCP_DDID_SHA1_M0 (4 << 20)
8566#define HDCP_DDIF_SHA1_M0 (5 << 20)
8567#define HDCP_DDIE_SHA1_M0 (6 << 20) /* Bspec says 5? */
2834d9df 8568#define HDCP_SHA1_BUSY BIT(16)
ee5e5e7a
SP
8569#define HDCP_SHA1_READY BIT(17)
8570#define HDCP_SHA1_COMPLETE BIT(18)
8571#define HDCP_SHA1_V_MATCH BIT(19)
8572#define HDCP_SHA1_TEXT_32 (1 << 1)
8573#define HDCP_SHA1_COMPLETE_HASH (2 << 1)
8574#define HDCP_SHA1_TEXT_24 (4 << 1)
8575#define HDCP_SHA1_TEXT_16 (5 << 1)
8576#define HDCP_SHA1_TEXT_8 (6 << 1)
8577#define HDCP_SHA1_TEXT_0 (7 << 1)
8578#define HDCP_SHA_V_PRIME_H0 _MMIO(0x66d04)
8579#define HDCP_SHA_V_PRIME_H1 _MMIO(0x66d08)
8580#define HDCP_SHA_V_PRIME_H2 _MMIO(0x66d0C)
8581#define HDCP_SHA_V_PRIME_H3 _MMIO(0x66d10)
8582#define HDCP_SHA_V_PRIME_H4 _MMIO(0x66d14)
8583#define HDCP_SHA_V_PRIME(h) _MMIO((0x66d04 + h * 4))
2834d9df 8584#define HDCP_SHA_TEXT _MMIO(0x66d18)
ee5e5e7a
SP
8585
8586/* HDCP Auth Registers */
8587#define _PORTA_HDCP_AUTHENC 0x66800
8588#define _PORTB_HDCP_AUTHENC 0x66500
8589#define _PORTC_HDCP_AUTHENC 0x66600
8590#define _PORTD_HDCP_AUTHENC 0x66700
8591#define _PORTE_HDCP_AUTHENC 0x66A00
8592#define _PORTF_HDCP_AUTHENC 0x66900
8593#define _PORT_HDCP_AUTHENC(port, x) _MMIO(_PICK(port, \
8594 _PORTA_HDCP_AUTHENC, \
8595 _PORTB_HDCP_AUTHENC, \
8596 _PORTC_HDCP_AUTHENC, \
8597 _PORTD_HDCP_AUTHENC, \
8598 _PORTE_HDCP_AUTHENC, \
8599 _PORTF_HDCP_AUTHENC) + x)
2834d9df
R
8600#define PORT_HDCP_CONF(port) _PORT_HDCP_AUTHENC(port, 0x0)
8601#define HDCP_CONF_CAPTURE_AN BIT(0)
8602#define HDCP_CONF_AUTH_AND_ENC (BIT(1) | BIT(0))
8603#define PORT_HDCP_ANINIT(port) _PORT_HDCP_AUTHENC(port, 0x4)
8604#define PORT_HDCP_ANLO(port) _PORT_HDCP_AUTHENC(port, 0x8)
8605#define PORT_HDCP_ANHI(port) _PORT_HDCP_AUTHENC(port, 0xC)
8606#define PORT_HDCP_BKSVLO(port) _PORT_HDCP_AUTHENC(port, 0x10)
8607#define PORT_HDCP_BKSVHI(port) _PORT_HDCP_AUTHENC(port, 0x14)
8608#define PORT_HDCP_RPRIME(port) _PORT_HDCP_AUTHENC(port, 0x18)
8609#define PORT_HDCP_STATUS(port) _PORT_HDCP_AUTHENC(port, 0x1C)
ee5e5e7a
SP
8610#define HDCP_STATUS_STREAM_A_ENC BIT(31)
8611#define HDCP_STATUS_STREAM_B_ENC BIT(30)
8612#define HDCP_STATUS_STREAM_C_ENC BIT(29)
8613#define HDCP_STATUS_STREAM_D_ENC BIT(28)
8614#define HDCP_STATUS_AUTH BIT(21)
8615#define HDCP_STATUS_ENC BIT(20)
2834d9df
R
8616#define HDCP_STATUS_RI_MATCH BIT(19)
8617#define HDCP_STATUS_R0_READY BIT(18)
8618#define HDCP_STATUS_AN_READY BIT(17)
ee5e5e7a
SP
8619#define HDCP_STATUS_CIPHER BIT(16)
8620#define HDCP_STATUS_FRAME_CNT(x) ((x >> 8) & 0xff)
8621
e7e104c3 8622/* Per-pipe DDI Function Control */
086f8e84
VS
8623#define _TRANS_DDI_FUNC_CTL_A 0x60400
8624#define _TRANS_DDI_FUNC_CTL_B 0x61400
8625#define _TRANS_DDI_FUNC_CTL_C 0x62400
8626#define _TRANS_DDI_FUNC_CTL_EDP 0x6F400
f0f59a00 8627#define TRANS_DDI_FUNC_CTL(tran) _MMIO_TRANS2(tran, _TRANS_DDI_FUNC_CTL_A)
a57c774a 8628
ad80a810 8629#define TRANS_DDI_FUNC_ENABLE (1<<31)
e7e104c3 8630/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
ad80a810 8631#define TRANS_DDI_PORT_MASK (7<<28)
26804afd 8632#define TRANS_DDI_PORT_SHIFT 28
ad80a810
PZ
8633#define TRANS_DDI_SELECT_PORT(x) ((x)<<28)
8634#define TRANS_DDI_PORT_NONE (0<<28)
8635#define TRANS_DDI_MODE_SELECT_MASK (7<<24)
8636#define TRANS_DDI_MODE_SELECT_HDMI (0<<24)
8637#define TRANS_DDI_MODE_SELECT_DVI (1<<24)
8638#define TRANS_DDI_MODE_SELECT_DP_SST (2<<24)
8639#define TRANS_DDI_MODE_SELECT_DP_MST (3<<24)
8640#define TRANS_DDI_MODE_SELECT_FDI (4<<24)
8641#define TRANS_DDI_BPC_MASK (7<<20)
8642#define TRANS_DDI_BPC_8 (0<<20)
8643#define TRANS_DDI_BPC_10 (1<<20)
8644#define TRANS_DDI_BPC_6 (2<<20)
8645#define TRANS_DDI_BPC_12 (3<<20)
8646#define TRANS_DDI_PVSYNC (1<<17)
8647#define TRANS_DDI_PHSYNC (1<<16)
8648#define TRANS_DDI_EDP_INPUT_MASK (7<<12)
8649#define TRANS_DDI_EDP_INPUT_A_ON (0<<12)
8650#define TRANS_DDI_EDP_INPUT_A_ONOFF (4<<12)
8651#define TRANS_DDI_EDP_INPUT_B_ONOFF (5<<12)
8652#define TRANS_DDI_EDP_INPUT_C_ONOFF (6<<12)
2320175f 8653#define TRANS_DDI_HDCP_SIGNALLING (1<<9)
01b887c3 8654#define TRANS_DDI_DP_VC_PAYLOAD_ALLOC (1<<8)
15953637
SS
8655#define TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE (1<<7)
8656#define TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ (1<<6)
ad80a810 8657#define TRANS_DDI_BFI_ENABLE (1<<4)
15953637
SS
8658#define TRANS_DDI_HIGH_TMDS_CHAR_RATE (1<<4)
8659#define TRANS_DDI_HDMI_SCRAMBLING (1<<0)
8660#define TRANS_DDI_HDMI_SCRAMBLING_MASK (TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE \
8661 | TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ \
8662 | TRANS_DDI_HDMI_SCRAMBLING)
e7e104c3 8663
0e87f667 8664/* DisplayPort Transport Control */
086f8e84
VS
8665#define _DP_TP_CTL_A 0x64040
8666#define _DP_TP_CTL_B 0x64140
f0f59a00 8667#define DP_TP_CTL(port) _MMIO_PORT(port, _DP_TP_CTL_A, _DP_TP_CTL_B)
5e49cea6
PZ
8668#define DP_TP_CTL_ENABLE (1<<31)
8669#define DP_TP_CTL_MODE_SST (0<<27)
8670#define DP_TP_CTL_MODE_MST (1<<27)
01b887c3 8671#define DP_TP_CTL_FORCE_ACT (1<<25)
0e87f667 8672#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1<<18)
5e49cea6 8673#define DP_TP_CTL_FDI_AUTOTRAIN (1<<15)
0e87f667
ED
8674#define DP_TP_CTL_LINK_TRAIN_MASK (7<<8)
8675#define DP_TP_CTL_LINK_TRAIN_PAT1 (0<<8)
8676#define DP_TP_CTL_LINK_TRAIN_PAT2 (1<<8)
d6c0d722
PZ
8677#define DP_TP_CTL_LINK_TRAIN_PAT3 (4<<8)
8678#define DP_TP_CTL_LINK_TRAIN_IDLE (2<<8)
5e49cea6 8679#define DP_TP_CTL_LINK_TRAIN_NORMAL (3<<8)
d6c0d722 8680#define DP_TP_CTL_SCRAMBLE_DISABLE (1<<7)
0e87f667 8681
e411b2c1 8682/* DisplayPort Transport Status */
086f8e84
VS
8683#define _DP_TP_STATUS_A 0x64044
8684#define _DP_TP_STATUS_B 0x64144
f0f59a00 8685#define DP_TP_STATUS(port) _MMIO_PORT(port, _DP_TP_STATUS_A, _DP_TP_STATUS_B)
01b887c3
DA
8686#define DP_TP_STATUS_IDLE_DONE (1<<25)
8687#define DP_TP_STATUS_ACT_SENT (1<<24)
8688#define DP_TP_STATUS_MODE_STATUS_MST (1<<23)
8689#define DP_TP_STATUS_AUTOTRAIN_DONE (1<<12)
8690#define DP_TP_STATUS_PAYLOAD_MAPPING_VC2 (3 << 8)
8691#define DP_TP_STATUS_PAYLOAD_MAPPING_VC1 (3 << 4)
8692#define DP_TP_STATUS_PAYLOAD_MAPPING_VC0 (3 << 0)
e411b2c1 8693
03f896a1 8694/* DDI Buffer Control */
086f8e84
VS
8695#define _DDI_BUF_CTL_A 0x64000
8696#define _DDI_BUF_CTL_B 0x64100
f0f59a00 8697#define DDI_BUF_CTL(port) _MMIO_PORT(port, _DDI_BUF_CTL_A, _DDI_BUF_CTL_B)
5e49cea6 8698#define DDI_BUF_CTL_ENABLE (1<<31)
c5fe6a06 8699#define DDI_BUF_TRANS_SELECT(n) ((n) << 24)
5e49cea6 8700#define DDI_BUF_EMP_MASK (0xf<<24)
876a8cdf 8701#define DDI_BUF_PORT_REVERSAL (1<<16)
5e49cea6 8702#define DDI_BUF_IS_IDLE (1<<7)
79935fca 8703#define DDI_A_4_LANES (1<<4)
17aa6be9 8704#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
90a6b7b0
VS
8705#define DDI_PORT_WIDTH_MASK (7 << 1)
8706#define DDI_PORT_WIDTH_SHIFT 1
03f896a1
ED
8707#define DDI_INIT_DISPLAY_DETECTED (1<<0)
8708
bb879a44 8709/* DDI Buffer Translations */
086f8e84
VS
8710#define _DDI_BUF_TRANS_A 0x64E00
8711#define _DDI_BUF_TRANS_B 0x64E60
f0f59a00 8712#define DDI_BUF_TRANS_LO(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8)
c110ae6c 8713#define DDI_BUF_BALANCE_LEG_ENABLE (1 << 31)
f0f59a00 8714#define DDI_BUF_TRANS_HI(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8 + 4)
bb879a44 8715
7501a4d8
ED
8716/* Sideband Interface (SBI) is programmed indirectly, via
8717 * SBI_ADDR, which contains the register offset; and SBI_DATA,
8718 * which contains the payload */
f0f59a00
VS
8719#define SBI_ADDR _MMIO(0xC6000)
8720#define SBI_DATA _MMIO(0xC6004)
8721#define SBI_CTL_STAT _MMIO(0xC6008)
988d6ee8
PZ
8722#define SBI_CTL_DEST_ICLK (0x0<<16)
8723#define SBI_CTL_DEST_MPHY (0x1<<16)
8724#define SBI_CTL_OP_IORD (0x2<<8)
8725#define SBI_CTL_OP_IOWR (0x3<<8)
7501a4d8
ED
8726#define SBI_CTL_OP_CRRD (0x6<<8)
8727#define SBI_CTL_OP_CRWR (0x7<<8)
8728#define SBI_RESPONSE_FAIL (0x1<<1)
5e49cea6
PZ
8729#define SBI_RESPONSE_SUCCESS (0x0<<1)
8730#define SBI_BUSY (0x1<<0)
8731#define SBI_READY (0x0<<0)
52f025ef 8732
ccf1c867 8733/* SBI offsets */
f7be2c21 8734#define SBI_SSCDIVINTPHASE 0x0200
5e49cea6 8735#define SBI_SSCDIVINTPHASE6 0x0600
8802e5b6
VS
8736#define SBI_SSCDIVINTPHASE_DIVSEL_SHIFT 1
8737#define SBI_SSCDIVINTPHASE_DIVSEL_MASK (0x7f<<1)
ccf1c867 8738#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x)<<1)
8802e5b6
VS
8739#define SBI_SSCDIVINTPHASE_INCVAL_SHIFT 8
8740#define SBI_SSCDIVINTPHASE_INCVAL_MASK (0x7f<<8)
ccf1c867 8741#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x)<<8)
5e49cea6 8742#define SBI_SSCDIVINTPHASE_DIR(x) ((x)<<15)
ccf1c867 8743#define SBI_SSCDIVINTPHASE_PROPAGATE (1<<0)
f7be2c21 8744#define SBI_SSCDITHPHASE 0x0204
5e49cea6 8745#define SBI_SSCCTL 0x020c
ccf1c867 8746#define SBI_SSCCTL6 0x060C
dde86e2d 8747#define SBI_SSCCTL_PATHALT (1<<3)
5e49cea6 8748#define SBI_SSCCTL_DISABLE (1<<0)
ccf1c867 8749#define SBI_SSCAUXDIV6 0x0610
8802e5b6
VS
8750#define SBI_SSCAUXDIV_FINALDIV2SEL_SHIFT 4
8751#define SBI_SSCAUXDIV_FINALDIV2SEL_MASK (1<<4)
ccf1c867 8752#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x)<<4)
5e49cea6 8753#define SBI_DBUFF0 0x2a00
2fa86a1f
PZ
8754#define SBI_GEN0 0x1f00
8755#define SBI_GEN0_CFG_BUFFENABLE_DISABLE (1<<0)
ccf1c867 8756
52f025ef 8757/* LPT PIXCLK_GATE */
f0f59a00 8758#define PIXCLK_GATE _MMIO(0xC6020)
745ca3be
PZ
8759#define PIXCLK_GATE_UNGATE (1<<0)
8760#define PIXCLK_GATE_GATE (0<<0)
52f025ef 8761
e93ea06a 8762/* SPLL */
f0f59a00 8763#define SPLL_CTL _MMIO(0x46020)
e93ea06a 8764#define SPLL_PLL_ENABLE (1<<31)
39bc66c9
DL
8765#define SPLL_PLL_SSC (1<<28)
8766#define SPLL_PLL_NON_SSC (2<<28)
11578553
JB
8767#define SPLL_PLL_LCPLL (3<<28)
8768#define SPLL_PLL_REF_MASK (3<<28)
5e49cea6
PZ
8769#define SPLL_PLL_FREQ_810MHz (0<<26)
8770#define SPLL_PLL_FREQ_1350MHz (1<<26)
11578553
JB
8771#define SPLL_PLL_FREQ_2700MHz (2<<26)
8772#define SPLL_PLL_FREQ_MASK (3<<26)
e93ea06a 8773
4dffc404 8774/* WRPLL */
086f8e84
VS
8775#define _WRPLL_CTL1 0x46040
8776#define _WRPLL_CTL2 0x46060
f0f59a00 8777#define WRPLL_CTL(pll) _MMIO_PIPE(pll, _WRPLL_CTL1, _WRPLL_CTL2)
5e49cea6 8778#define WRPLL_PLL_ENABLE (1<<31)
114fe488
DV
8779#define WRPLL_PLL_SSC (1<<28)
8780#define WRPLL_PLL_NON_SSC (2<<28)
8781#define WRPLL_PLL_LCPLL (3<<28)
8782#define WRPLL_PLL_REF_MASK (3<<28)
ef4d084f 8783/* WRPLL divider programming */
5e49cea6 8784#define WRPLL_DIVIDER_REFERENCE(x) ((x)<<0)
11578553 8785#define WRPLL_DIVIDER_REF_MASK (0xff)
5e49cea6 8786#define WRPLL_DIVIDER_POST(x) ((x)<<8)
11578553
JB
8787#define WRPLL_DIVIDER_POST_MASK (0x3f<<8)
8788#define WRPLL_DIVIDER_POST_SHIFT 8
5e49cea6 8789#define WRPLL_DIVIDER_FEEDBACK(x) ((x)<<16)
11578553
JB
8790#define WRPLL_DIVIDER_FB_SHIFT 16
8791#define WRPLL_DIVIDER_FB_MASK (0xff<<16)
4dffc404 8792
fec9181c 8793/* Port clock selection */
086f8e84
VS
8794#define _PORT_CLK_SEL_A 0x46100
8795#define _PORT_CLK_SEL_B 0x46104
f0f59a00 8796#define PORT_CLK_SEL(port) _MMIO_PORT(port, _PORT_CLK_SEL_A, _PORT_CLK_SEL_B)
fec9181c
ED
8797#define PORT_CLK_SEL_LCPLL_2700 (0<<29)
8798#define PORT_CLK_SEL_LCPLL_1350 (1<<29)
8799#define PORT_CLK_SEL_LCPLL_810 (2<<29)
5e49cea6 8800#define PORT_CLK_SEL_SPLL (3<<29)
716c2e55 8801#define PORT_CLK_SEL_WRPLL(pll) (((pll)+4)<<29)
fec9181c
ED
8802#define PORT_CLK_SEL_WRPLL1 (4<<29)
8803#define PORT_CLK_SEL_WRPLL2 (5<<29)
6441ab5f 8804#define PORT_CLK_SEL_NONE (7<<29)
11578553 8805#define PORT_CLK_SEL_MASK (7<<29)
fec9181c 8806
78b60ce7
PZ
8807/* On ICL+ this is the same as PORT_CLK_SEL, but all bits change. */
8808#define DDI_CLK_SEL(port) PORT_CLK_SEL(port)
8809#define DDI_CLK_SEL_NONE (0x0 << 28)
8810#define DDI_CLK_SEL_MG (0x8 << 28)
8811#define DDI_CLK_SEL_MASK (0xF << 28)
8812
bb523fc0 8813/* Transcoder clock selection */
086f8e84
VS
8814#define _TRANS_CLK_SEL_A 0x46140
8815#define _TRANS_CLK_SEL_B 0x46144
f0f59a00 8816#define TRANS_CLK_SEL(tran) _MMIO_TRANS(tran, _TRANS_CLK_SEL_A, _TRANS_CLK_SEL_B)
bb523fc0
PZ
8817/* For each transcoder, we need to select the corresponding port clock */
8818#define TRANS_CLK_SEL_DISABLED (0x0<<29)
68d97538 8819#define TRANS_CLK_SEL_PORT(x) (((x)+1)<<29)
fec9181c 8820
7f1052a8
VS
8821#define CDCLK_FREQ _MMIO(0x46200)
8822
086f8e84
VS
8823#define _TRANSA_MSA_MISC 0x60410
8824#define _TRANSB_MSA_MISC 0x61410
8825#define _TRANSC_MSA_MISC 0x62410
8826#define _TRANS_EDP_MSA_MISC 0x6f410
f0f59a00 8827#define TRANS_MSA_MISC(tran) _MMIO_TRANS2(tran, _TRANSA_MSA_MISC)
a57c774a 8828
c9809791
PZ
8829#define TRANS_MSA_SYNC_CLK (1<<0)
8830#define TRANS_MSA_6_BPC (0<<5)
8831#define TRANS_MSA_8_BPC (1<<5)
8832#define TRANS_MSA_10_BPC (2<<5)
8833#define TRANS_MSA_12_BPC (3<<5)
8834#define TRANS_MSA_16_BPC (4<<5)
dae84799 8835
90e8d31c 8836/* LCPLL Control */
f0f59a00 8837#define LCPLL_CTL _MMIO(0x130040)
90e8d31c
ED
8838#define LCPLL_PLL_DISABLE (1<<31)
8839#define LCPLL_PLL_LOCK (1<<30)
79f689aa
PZ
8840#define LCPLL_CLK_FREQ_MASK (3<<26)
8841#define LCPLL_CLK_FREQ_450 (0<<26)
e39bf98a
PZ
8842#define LCPLL_CLK_FREQ_54O_BDW (1<<26)
8843#define LCPLL_CLK_FREQ_337_5_BDW (2<<26)
8844#define LCPLL_CLK_FREQ_675_BDW (3<<26)
5e49cea6 8845#define LCPLL_CD_CLOCK_DISABLE (1<<25)
b432e5cf 8846#define LCPLL_ROOT_CD_CLOCK_DISABLE (1<<24)
90e8d31c 8847#define LCPLL_CD2X_CLOCK_DISABLE (1<<23)
be256dc7 8848#define LCPLL_POWER_DOWN_ALLOW (1<<22)
79f689aa 8849#define LCPLL_CD_SOURCE_FCLK (1<<21)
be256dc7
PZ
8850#define LCPLL_CD_SOURCE_FCLK_DONE (1<<19)
8851
326ac39b
S
8852/*
8853 * SKL Clocks
8854 */
8855
8856/* CDCLK_CTL */
f0f59a00 8857#define CDCLK_CTL _MMIO(0x46000)
186a277e
PZ
8858#define CDCLK_FREQ_SEL_MASK (3 << 26)
8859#define CDCLK_FREQ_450_432 (0 << 26)
8860#define CDCLK_FREQ_540 (1 << 26)
8861#define CDCLK_FREQ_337_308 (2 << 26)
8862#define CDCLK_FREQ_675_617 (3 << 26)
8863#define BXT_CDCLK_CD2X_DIV_SEL_MASK (3 << 22)
8864#define BXT_CDCLK_CD2X_DIV_SEL_1 (0 << 22)
8865#define BXT_CDCLK_CD2X_DIV_SEL_1_5 (1 << 22)
8866#define BXT_CDCLK_CD2X_DIV_SEL_2 (2 << 22)
8867#define BXT_CDCLK_CD2X_DIV_SEL_4 (3 << 22)
8868#define BXT_CDCLK_CD2X_PIPE(pipe) ((pipe) << 20)
8869#define CDCLK_DIVMUX_CD_OVERRIDE (1 << 19)
7fe62757 8870#define BXT_CDCLK_CD2X_PIPE_NONE BXT_CDCLK_CD2X_PIPE(3)
186a277e
PZ
8871#define ICL_CDCLK_CD2X_PIPE_NONE (7 << 19)
8872#define BXT_CDCLK_SSA_PRECHARGE_ENABLE (1 << 16)
7fe62757 8873#define CDCLK_FREQ_DECIMAL_MASK (0x7ff)
f8437dd1 8874
326ac39b 8875/* LCPLL_CTL */
f0f59a00
VS
8876#define LCPLL1_CTL _MMIO(0x46010)
8877#define LCPLL2_CTL _MMIO(0x46014)
326ac39b
S
8878#define LCPLL_PLL_ENABLE (1<<31)
8879
8880/* DPLL control1 */
f0f59a00 8881#define DPLL_CTRL1 _MMIO(0x6C058)
326ac39b
S
8882#define DPLL_CTRL1_HDMI_MODE(id) (1<<((id)*6+5))
8883#define DPLL_CTRL1_SSC(id) (1<<((id)*6+4))
71cd8423
DL
8884#define DPLL_CTRL1_LINK_RATE_MASK(id) (7<<((id)*6+1))
8885#define DPLL_CTRL1_LINK_RATE_SHIFT(id) ((id)*6+1)
8886#define DPLL_CTRL1_LINK_RATE(linkrate, id) ((linkrate)<<((id)*6+1))
326ac39b 8887#define DPLL_CTRL1_OVERRIDE(id) (1<<((id)*6))
71cd8423
DL
8888#define DPLL_CTRL1_LINK_RATE_2700 0
8889#define DPLL_CTRL1_LINK_RATE_1350 1
8890#define DPLL_CTRL1_LINK_RATE_810 2
8891#define DPLL_CTRL1_LINK_RATE_1620 3
8892#define DPLL_CTRL1_LINK_RATE_1080 4
8893#define DPLL_CTRL1_LINK_RATE_2160 5
326ac39b
S
8894
8895/* DPLL control2 */
f0f59a00 8896#define DPLL_CTRL2 _MMIO(0x6C05C)
68d97538 8897#define DPLL_CTRL2_DDI_CLK_OFF(port) (1<<((port)+15))
326ac39b 8898#define DPLL_CTRL2_DDI_CLK_SEL_MASK(port) (3<<((port)*3+1))
540e732c 8899#define DPLL_CTRL2_DDI_CLK_SEL_SHIFT(port) ((port)*3+1)
68d97538 8900#define DPLL_CTRL2_DDI_CLK_SEL(clk, port) ((clk)<<((port)*3+1))
326ac39b
S
8901#define DPLL_CTRL2_DDI_SEL_OVERRIDE(port) (1<<((port)*3))
8902
8903/* DPLL Status */
f0f59a00 8904#define DPLL_STATUS _MMIO(0x6C060)
326ac39b
S
8905#define DPLL_LOCK(id) (1<<((id)*8))
8906
8907/* DPLL cfg */
086f8e84
VS
8908#define _DPLL1_CFGCR1 0x6C040
8909#define _DPLL2_CFGCR1 0x6C048
8910#define _DPLL3_CFGCR1 0x6C050
326ac39b
S
8911#define DPLL_CFGCR1_FREQ_ENABLE (1<<31)
8912#define DPLL_CFGCR1_DCO_FRACTION_MASK (0x7fff<<9)
68d97538 8913#define DPLL_CFGCR1_DCO_FRACTION(x) ((x)<<9)
326ac39b
S
8914#define DPLL_CFGCR1_DCO_INTEGER_MASK (0x1ff)
8915
086f8e84
VS
8916#define _DPLL1_CFGCR2 0x6C044
8917#define _DPLL2_CFGCR2 0x6C04C
8918#define _DPLL3_CFGCR2 0x6C054
326ac39b 8919#define DPLL_CFGCR2_QDIV_RATIO_MASK (0xff<<8)
68d97538
VS
8920#define DPLL_CFGCR2_QDIV_RATIO(x) ((x)<<8)
8921#define DPLL_CFGCR2_QDIV_MODE(x) ((x)<<7)
326ac39b 8922#define DPLL_CFGCR2_KDIV_MASK (3<<5)
68d97538 8923#define DPLL_CFGCR2_KDIV(x) ((x)<<5)
326ac39b
S
8924#define DPLL_CFGCR2_KDIV_5 (0<<5)
8925#define DPLL_CFGCR2_KDIV_2 (1<<5)
8926#define DPLL_CFGCR2_KDIV_3 (2<<5)
8927#define DPLL_CFGCR2_KDIV_1 (3<<5)
8928#define DPLL_CFGCR2_PDIV_MASK (7<<2)
68d97538 8929#define DPLL_CFGCR2_PDIV(x) ((x)<<2)
326ac39b
S
8930#define DPLL_CFGCR2_PDIV_1 (0<<2)
8931#define DPLL_CFGCR2_PDIV_2 (1<<2)
8932#define DPLL_CFGCR2_PDIV_3 (2<<2)
8933#define DPLL_CFGCR2_PDIV_7 (4<<2)
8934#define DPLL_CFGCR2_CENTRAL_FREQ_MASK (3)
8935
da3b891b 8936#define DPLL_CFGCR1(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR1, _DPLL2_CFGCR1)
f0f59a00 8937#define DPLL_CFGCR2(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR2, _DPLL2_CFGCR2)
540e732c 8938
555e38d2
RV
8939/*
8940 * CNL Clocks
8941 */
8942#define DPCLKA_CFGCR0 _MMIO(0x6C200)
78b60ce7 8943#define DPCLKA_CFGCR0_ICL _MMIO(0x164280)
376faf8a
RV
8944#define DPCLKA_CFGCR0_DDI_CLK_OFF(port) (1 << ((port) == PORT_F ? 23 : \
8945 (port)+10))
8946#define DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port) ((port) == PORT_F ? 21 : \
8947 (port)*2)
8948#define DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(port) (3 << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
8949#define DPCLKA_CFGCR0_DDI_CLK_SEL(pll, port) ((pll) << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
555e38d2 8950
a927c927
RV
8951/* CNL PLL */
8952#define DPLL0_ENABLE 0x46010
8953#define DPLL1_ENABLE 0x46014
8954#define PLL_ENABLE (1 << 31)
8955#define PLL_LOCK (1 << 30)
8956#define PLL_POWER_ENABLE (1 << 27)
8957#define PLL_POWER_STATE (1 << 26)
8958#define CNL_DPLL_ENABLE(pll) _MMIO_PLL(pll, DPLL0_ENABLE, DPLL1_ENABLE)
8959
78b60ce7
PZ
8960#define _MG_PLL1_ENABLE 0x46030
8961#define _MG_PLL2_ENABLE 0x46034
8962#define _MG_PLL3_ENABLE 0x46038
8963#define _MG_PLL4_ENABLE 0x4603C
8964/* Bits are the same as DPLL0_ENABLE */
8965#define MG_PLL_ENABLE(port) _MMIO_PORT((port) - PORT_C, _MG_PLL1_ENABLE, \
8966 _MG_PLL2_ENABLE)
8967
8968#define _MG_REFCLKIN_CTL_PORT1 0x16892C
8969#define _MG_REFCLKIN_CTL_PORT2 0x16992C
8970#define _MG_REFCLKIN_CTL_PORT3 0x16A92C
8971#define _MG_REFCLKIN_CTL_PORT4 0x16B92C
8972#define MG_REFCLKIN_CTL_OD_2_MUX(x) ((x) << 8)
8973#define MG_REFCLKIN_CTL(port) _MMIO_PORT((port) - PORT_C, \
8974 _MG_REFCLKIN_CTL_PORT1, \
8975 _MG_REFCLKIN_CTL_PORT2)
8976
8977#define _MG_CLKTOP2_CORECLKCTL1_PORT1 0x1688D8
8978#define _MG_CLKTOP2_CORECLKCTL1_PORT2 0x1698D8
8979#define _MG_CLKTOP2_CORECLKCTL1_PORT3 0x16A8D8
8980#define _MG_CLKTOP2_CORECLKCTL1_PORT4 0x16B8D8
8981#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO(x) ((x) << 16)
8982#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO(x) ((x) << 8)
8983#define MG_CLKTOP2_CORECLKCTL1(port) _MMIO_PORT((port) - PORT_C, \
8984 _MG_CLKTOP2_CORECLKCTL1_PORT1, \
8985 _MG_CLKTOP2_CORECLKCTL1_PORT2)
8986
8987#define _MG_CLKTOP2_HSCLKCTL_PORT1 0x1688D4
8988#define _MG_CLKTOP2_HSCLKCTL_PORT2 0x1698D4
8989#define _MG_CLKTOP2_HSCLKCTL_PORT3 0x16A8D4
8990#define _MG_CLKTOP2_HSCLKCTL_PORT4 0x16B8D4
8991#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL(x) ((x) << 16)
8992#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL(x) ((x) << 14)
8993#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO(x) ((x) << 12)
8994#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO(x) ((x) << 8)
8995#define MG_CLKTOP2_HSCLKCTL(port) _MMIO_PORT((port) - PORT_C, \
8996 _MG_CLKTOP2_HSCLKCTL_PORT1, \
8997 _MG_CLKTOP2_HSCLKCTL_PORT2)
8998
8999#define _MG_PLL_DIV0_PORT1 0x168A00
9000#define _MG_PLL_DIV0_PORT2 0x169A00
9001#define _MG_PLL_DIV0_PORT3 0x16AA00
9002#define _MG_PLL_DIV0_PORT4 0x16BA00
9003#define MG_PLL_DIV0_FRACNEN_H (1 << 30)
9004#define MG_PLL_DIV0_FBDIV_FRAC(x) ((x) << 8)
9005#define MG_PLL_DIV0_FBDIV_INT(x) ((x) << 0)
9006#define MG_PLL_DIV0(port) _MMIO_PORT((port) - PORT_C, _MG_PLL_DIV0_PORT1, \
9007 _MG_PLL_DIV0_PORT2)
9008
9009#define _MG_PLL_DIV1_PORT1 0x168A04
9010#define _MG_PLL_DIV1_PORT2 0x169A04
9011#define _MG_PLL_DIV1_PORT3 0x16AA04
9012#define _MG_PLL_DIV1_PORT4 0x16BA04
9013#define MG_PLL_DIV1_IREF_NDIVRATIO(x) ((x) << 16)
9014#define MG_PLL_DIV1_DITHER_DIV_1 (0 << 12)
9015#define MG_PLL_DIV1_DITHER_DIV_2 (1 << 12)
9016#define MG_PLL_DIV1_DITHER_DIV_4 (2 << 12)
9017#define MG_PLL_DIV1_DITHER_DIV_8 (3 << 12)
9018#define MG_PLL_DIV1_NDIVRATIO(x) ((x) << 4)
9019#define MG_PLL_DIV1_FBPREDIV(x) ((x) << 0)
9020#define MG_PLL_DIV1(port) _MMIO_PORT((port) - PORT_C, _MG_PLL_DIV1_PORT1, \
9021 _MG_PLL_DIV1_PORT2)
9022
9023#define _MG_PLL_LF_PORT1 0x168A08
9024#define _MG_PLL_LF_PORT2 0x169A08
9025#define _MG_PLL_LF_PORT3 0x16AA08
9026#define _MG_PLL_LF_PORT4 0x16BA08
9027#define MG_PLL_LF_TDCTARGETCNT(x) ((x) << 24)
9028#define MG_PLL_LF_AFCCNTSEL_256 (0 << 20)
9029#define MG_PLL_LF_AFCCNTSEL_512 (1 << 20)
9030#define MG_PLL_LF_GAINCTRL(x) ((x) << 16)
9031#define MG_PLL_LF_INT_COEFF(x) ((x) << 8)
9032#define MG_PLL_LF_PROP_COEFF(x) ((x) << 0)
9033#define MG_PLL_LF(port) _MMIO_PORT((port) - PORT_C, _MG_PLL_LF_PORT1, \
9034 _MG_PLL_LF_PORT2)
9035
9036#define _MG_PLL_FRAC_LOCK_PORT1 0x168A0C
9037#define _MG_PLL_FRAC_LOCK_PORT2 0x169A0C
9038#define _MG_PLL_FRAC_LOCK_PORT3 0x16AA0C
9039#define _MG_PLL_FRAC_LOCK_PORT4 0x16BA0C
9040#define MG_PLL_FRAC_LOCK_TRUELOCK_CRIT_32 (1 << 18)
9041#define MG_PLL_FRAC_LOCK_EARLYLOCK_CRIT_32 (1 << 16)
9042#define MG_PLL_FRAC_LOCK_LOCKTHRESH(x) ((x) << 11)
9043#define MG_PLL_FRAC_LOCK_DCODITHEREN (1 << 10)
9044#define MG_PLL_FRAC_LOCK_FEEDFWRDCAL_EN (1 << 8)
9045#define MG_PLL_FRAC_LOCK_FEEDFWRDGAIN(x) ((x) << 0)
9046#define MG_PLL_FRAC_LOCK(port) _MMIO_PORT((port) - PORT_C, \
9047 _MG_PLL_FRAC_LOCK_PORT1, \
9048 _MG_PLL_FRAC_LOCK_PORT2)
9049
9050#define _MG_PLL_SSC_PORT1 0x168A10
9051#define _MG_PLL_SSC_PORT2 0x169A10
9052#define _MG_PLL_SSC_PORT3 0x16AA10
9053#define _MG_PLL_SSC_PORT4 0x16BA10
9054#define MG_PLL_SSC_EN (1 << 28)
9055#define MG_PLL_SSC_TYPE(x) ((x) << 26)
9056#define MG_PLL_SSC_STEPLENGTH(x) ((x) << 16)
9057#define MG_PLL_SSC_STEPNUM(x) ((x) << 10)
9058#define MG_PLL_SSC_FLLEN (1 << 9)
9059#define MG_PLL_SSC_STEPSIZE(x) ((x) << 0)
9060#define MG_PLL_SSC(port) _MMIO_PORT((port) - PORT_C, _MG_PLL_SSC_PORT1, \
9061 _MG_PLL_SSC_PORT2)
9062
9063#define _MG_PLL_BIAS_PORT1 0x168A14
9064#define _MG_PLL_BIAS_PORT2 0x169A14
9065#define _MG_PLL_BIAS_PORT3 0x16AA14
9066#define _MG_PLL_BIAS_PORT4 0x16BA14
9067#define MG_PLL_BIAS_BIAS_GB_SEL(x) ((x) << 30)
9068#define MG_PLL_BIAS_INIT_DCOAMP(x) ((x) << 24)
9069#define MG_PLL_BIAS_BIAS_BONUS(x) ((x) << 16)
9070#define MG_PLL_BIAS_BIASCAL_EN (1 << 15)
9071#define MG_PLL_BIAS_CTRIM(x) ((x) << 8)
9072#define MG_PLL_BIAS_VREF_RDAC(x) ((x) << 5)
9073#define MG_PLL_BIAS_IREFTRIM(x) ((x) << 0)
9074#define MG_PLL_BIAS(port) _MMIO_PORT((port) - PORT_C, _MG_PLL_BIAS_PORT1, \
9075 _MG_PLL_BIAS_PORT2)
9076
9077#define _MG_PLL_TDC_COLDST_BIAS_PORT1 0x168A18
9078#define _MG_PLL_TDC_COLDST_BIAS_PORT2 0x169A18
9079#define _MG_PLL_TDC_COLDST_BIAS_PORT3 0x16AA18
9080#define _MG_PLL_TDC_COLDST_BIAS_PORT4 0x16BA18
9081#define MG_PLL_TDC_COLDST_IREFINT_EN (1 << 27)
9082#define MG_PLL_TDC_COLDST_REFBIAS_START_PULSE_W(x) ((x) << 17)
9083#define MG_PLL_TDC_COLDST_COLDSTART (1 << 16)
9084#define MG_PLL_TDC_TDCOVCCORR_EN (1 << 2)
9085#define MG_PLL_TDC_TDCSEL(x) ((x) << 0)
9086#define MG_PLL_TDC_COLDST_BIAS(port) _MMIO_PORT((port) - PORT_C, \
9087 _MG_PLL_TDC_COLDST_BIAS_PORT1, \
9088 _MG_PLL_TDC_COLDST_BIAS_PORT2)
9089
a927c927
RV
9090#define _CNL_DPLL0_CFGCR0 0x6C000
9091#define _CNL_DPLL1_CFGCR0 0x6C080
9092#define DPLL_CFGCR0_HDMI_MODE (1 << 30)
9093#define DPLL_CFGCR0_SSC_ENABLE (1 << 29)
78b60ce7 9094#define DPLL_CFGCR0_SSC_ENABLE_ICL (1 << 25)
a927c927
RV
9095#define DPLL_CFGCR0_LINK_RATE_MASK (0xf << 25)
9096#define DPLL_CFGCR0_LINK_RATE_2700 (0 << 25)
9097#define DPLL_CFGCR0_LINK_RATE_1350 (1 << 25)
9098#define DPLL_CFGCR0_LINK_RATE_810 (2 << 25)
9099#define DPLL_CFGCR0_LINK_RATE_1620 (3 << 25)
9100#define DPLL_CFGCR0_LINK_RATE_1080 (4 << 25)
9101#define DPLL_CFGCR0_LINK_RATE_2160 (5 << 25)
9102#define DPLL_CFGCR0_LINK_RATE_3240 (6 << 25)
9103#define DPLL_CFGCR0_LINK_RATE_4050 (7 << 25)
9104#define DPLL_CFGCR0_DCO_FRACTION_MASK (0x7fff << 10)
442aa277 9105#define DPLL_CFGCR0_DCO_FRACTION_SHIFT (10)
a927c927
RV
9106#define DPLL_CFGCR0_DCO_FRACTION(x) ((x) << 10)
9107#define DPLL_CFGCR0_DCO_INTEGER_MASK (0x3ff)
9108#define CNL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR0, _CNL_DPLL1_CFGCR0)
9109
9110#define _CNL_DPLL0_CFGCR1 0x6C004
9111#define _CNL_DPLL1_CFGCR1 0x6C084
9112#define DPLL_CFGCR1_QDIV_RATIO_MASK (0xff << 10)
a9701a89 9113#define DPLL_CFGCR1_QDIV_RATIO_SHIFT (10)
a927c927
RV
9114#define DPLL_CFGCR1_QDIV_RATIO(x) ((x) << 10)
9115#define DPLL_CFGCR1_QDIV_MODE(x) ((x) << 9)
9116#define DPLL_CFGCR1_KDIV_MASK (7 << 6)
9117#define DPLL_CFGCR1_KDIV(x) ((x) << 6)
9118#define DPLL_CFGCR1_KDIV_1 (1 << 6)
9119#define DPLL_CFGCR1_KDIV_2 (2 << 6)
9120#define DPLL_CFGCR1_KDIV_4 (4 << 6)
9121#define DPLL_CFGCR1_PDIV_MASK (0xf << 2)
9122#define DPLL_CFGCR1_PDIV(x) ((x) << 2)
9123#define DPLL_CFGCR1_PDIV_2 (1 << 2)
9124#define DPLL_CFGCR1_PDIV_3 (2 << 2)
9125#define DPLL_CFGCR1_PDIV_5 (4 << 2)
9126#define DPLL_CFGCR1_PDIV_7 (8 << 2)
9127#define DPLL_CFGCR1_CENTRAL_FREQ (3 << 0)
78b60ce7 9128#define DPLL_CFGCR1_CENTRAL_FREQ_8400 (3 << 0)
a927c927
RV
9129#define CNL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR1, _CNL_DPLL1_CFGCR1)
9130
78b60ce7
PZ
9131#define _ICL_DPLL0_CFGCR0 0x164000
9132#define _ICL_DPLL1_CFGCR0 0x164080
9133#define ICL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR0, \
9134 _ICL_DPLL1_CFGCR0)
9135
9136#define _ICL_DPLL0_CFGCR1 0x164004
9137#define _ICL_DPLL1_CFGCR1 0x164084
9138#define ICL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR1, \
9139 _ICL_DPLL1_CFGCR1)
9140
f8437dd1 9141/* BXT display engine PLL */
f0f59a00 9142#define BXT_DE_PLL_CTL _MMIO(0x6d000)
f8437dd1
VK
9143#define BXT_DE_PLL_RATIO(x) (x) /* {60,65,100} * 19.2MHz */
9144#define BXT_DE_PLL_RATIO_MASK 0xff
9145
f0f59a00 9146#define BXT_DE_PLL_ENABLE _MMIO(0x46070)
f8437dd1
VK
9147#define BXT_DE_PLL_PLL_ENABLE (1 << 31)
9148#define BXT_DE_PLL_LOCK (1 << 30)
945f2672
VS
9149#define CNL_CDCLK_PLL_RATIO(x) (x)
9150#define CNL_CDCLK_PLL_RATIO_MASK 0xff
f8437dd1 9151
664326f8 9152/* GEN9 DC */
f0f59a00 9153#define DC_STATE_EN _MMIO(0x45504)
13ae3a0d 9154#define DC_STATE_DISABLE 0
664326f8
SK
9155#define DC_STATE_EN_UPTO_DC5 (1<<0)
9156#define DC_STATE_EN_DC9 (1<<3)
6b457d31
SK
9157#define DC_STATE_EN_UPTO_DC6 (2<<0)
9158#define DC_STATE_EN_UPTO_DC5_DC6_MASK 0x3
9159
f0f59a00 9160#define DC_STATE_DEBUG _MMIO(0x45520)
5b076889 9161#define DC_STATE_DEBUG_MASK_CORES (1<<0)
6b457d31
SK
9162#define DC_STATE_DEBUG_MASK_MEMORY_UP (1<<1)
9163
9ccd5aeb
PZ
9164/* Please see hsw_read_dcomp() and hsw_write_dcomp() before using this register,
9165 * since on HSW we can't write to it using I915_WRITE. */
f0f59a00
VS
9166#define D_COMP_HSW _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5F0C)
9167#define D_COMP_BDW _MMIO(0x138144)
be256dc7
PZ
9168#define D_COMP_RCOMP_IN_PROGRESS (1<<9)
9169#define D_COMP_COMP_FORCE (1<<8)
9170#define D_COMP_COMP_DISABLE (1<<0)
90e8d31c 9171
69e94b7e 9172/* Pipe WM_LINETIME - watermark line time */
086f8e84
VS
9173#define _PIPE_WM_LINETIME_A 0x45270
9174#define _PIPE_WM_LINETIME_B 0x45274
f0f59a00 9175#define PIPE_WM_LINETIME(pipe) _MMIO_PIPE(pipe, _PIPE_WM_LINETIME_A, _PIPE_WM_LINETIME_B)
5e49cea6
PZ
9176#define PIPE_WM_LINETIME_MASK (0x1ff)
9177#define PIPE_WM_LINETIME_TIME(x) ((x))
69e94b7e 9178#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff<<16)
5e49cea6 9179#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x)<<16)
96d6e350
ED
9180
9181/* SFUSE_STRAP */
f0f59a00 9182#define SFUSE_STRAP _MMIO(0xc2014)
658ac4c6 9183#define SFUSE_STRAP_FUSE_LOCK (1<<13)
9d81a997 9184#define SFUSE_STRAP_RAW_FREQUENCY (1<<8)
658ac4c6 9185#define SFUSE_STRAP_DISPLAY_DISABLED (1<<7)
65e472e4 9186#define SFUSE_STRAP_CRT_DISABLED (1<<6)
9787e835 9187#define SFUSE_STRAP_DDIF_DETECTED (1<<3)
96d6e350
ED
9188#define SFUSE_STRAP_DDIB_DETECTED (1<<2)
9189#define SFUSE_STRAP_DDIC_DETECTED (1<<1)
9190#define SFUSE_STRAP_DDID_DETECTED (1<<0)
9191
f0f59a00 9192#define WM_MISC _MMIO(0x45260)
801bcfff
PZ
9193#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
9194
f0f59a00 9195#define WM_DBG _MMIO(0x45280)
1544d9d5
ED
9196#define WM_DBG_DISALLOW_MULTIPLE_LP (1<<0)
9197#define WM_DBG_DISALLOW_MAXFIFO (1<<1)
9198#define WM_DBG_DISALLOW_SPRITE (1<<2)
9199
86d3efce
VS
9200/* pipe CSC */
9201#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
9202#define _PIPE_A_CSC_COEFF_BY 0x49014
9203#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
9204#define _PIPE_A_CSC_COEFF_BU 0x4901c
9205#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
9206#define _PIPE_A_CSC_COEFF_BV 0x49024
9207#define _PIPE_A_CSC_MODE 0x49028
29a397ba
VS
9208#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
9209#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
9210#define CSC_MODE_YUV_TO_RGB (1 << 0)
86d3efce
VS
9211#define _PIPE_A_CSC_PREOFF_HI 0x49030
9212#define _PIPE_A_CSC_PREOFF_ME 0x49034
9213#define _PIPE_A_CSC_PREOFF_LO 0x49038
9214#define _PIPE_A_CSC_POSTOFF_HI 0x49040
9215#define _PIPE_A_CSC_POSTOFF_ME 0x49044
9216#define _PIPE_A_CSC_POSTOFF_LO 0x49048
9217
9218#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
9219#define _PIPE_B_CSC_COEFF_BY 0x49114
9220#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
9221#define _PIPE_B_CSC_COEFF_BU 0x4911c
9222#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
9223#define _PIPE_B_CSC_COEFF_BV 0x49124
9224#define _PIPE_B_CSC_MODE 0x49128
9225#define _PIPE_B_CSC_PREOFF_HI 0x49130
9226#define _PIPE_B_CSC_PREOFF_ME 0x49134
9227#define _PIPE_B_CSC_PREOFF_LO 0x49138
9228#define _PIPE_B_CSC_POSTOFF_HI 0x49140
9229#define _PIPE_B_CSC_POSTOFF_ME 0x49144
9230#define _PIPE_B_CSC_POSTOFF_LO 0x49148
9231
f0f59a00
VS
9232#define PIPE_CSC_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
9233#define PIPE_CSC_COEFF_BY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
9234#define PIPE_CSC_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
9235#define PIPE_CSC_COEFF_BU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
9236#define PIPE_CSC_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
9237#define PIPE_CSC_COEFF_BV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
9238#define PIPE_CSC_MODE(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
9239#define PIPE_CSC_PREOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
9240#define PIPE_CSC_PREOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
9241#define PIPE_CSC_PREOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
9242#define PIPE_CSC_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
9243#define PIPE_CSC_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
9244#define PIPE_CSC_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
86d3efce 9245
82cf435b
LL
9246/* pipe degamma/gamma LUTs on IVB+ */
9247#define _PAL_PREC_INDEX_A 0x4A400
9248#define _PAL_PREC_INDEX_B 0x4AC00
9249#define _PAL_PREC_INDEX_C 0x4B400
9250#define PAL_PREC_10_12_BIT (0 << 31)
9251#define PAL_PREC_SPLIT_MODE (1 << 31)
9252#define PAL_PREC_AUTO_INCREMENT (1 << 15)
2fcb2066 9253#define PAL_PREC_INDEX_VALUE_MASK (0x3ff << 0)
82cf435b
LL
9254#define _PAL_PREC_DATA_A 0x4A404
9255#define _PAL_PREC_DATA_B 0x4AC04
9256#define _PAL_PREC_DATA_C 0x4B404
9257#define _PAL_PREC_GC_MAX_A 0x4A410
9258#define _PAL_PREC_GC_MAX_B 0x4AC10
9259#define _PAL_PREC_GC_MAX_C 0x4B410
9260#define _PAL_PREC_EXT_GC_MAX_A 0x4A420
9261#define _PAL_PREC_EXT_GC_MAX_B 0x4AC20
9262#define _PAL_PREC_EXT_GC_MAX_C 0x4B420
9751bafc
ACO
9263#define _PAL_PREC_EXT2_GC_MAX_A 0x4A430
9264#define _PAL_PREC_EXT2_GC_MAX_B 0x4AC30
9265#define _PAL_PREC_EXT2_GC_MAX_C 0x4B430
82cf435b
LL
9266
9267#define PREC_PAL_INDEX(pipe) _MMIO_PIPE(pipe, _PAL_PREC_INDEX_A, _PAL_PREC_INDEX_B)
9268#define PREC_PAL_DATA(pipe) _MMIO_PIPE(pipe, _PAL_PREC_DATA_A, _PAL_PREC_DATA_B)
9269#define PREC_PAL_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_GC_MAX_A, _PAL_PREC_GC_MAX_B) + (i) * 4)
9270#define PREC_PAL_EXT_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT_GC_MAX_A, _PAL_PREC_EXT_GC_MAX_B) + (i) * 4)
9271
9751bafc
ACO
9272#define _PRE_CSC_GAMC_INDEX_A 0x4A484
9273#define _PRE_CSC_GAMC_INDEX_B 0x4AC84
9274#define _PRE_CSC_GAMC_INDEX_C 0x4B484
9275#define PRE_CSC_GAMC_AUTO_INCREMENT (1 << 10)
9276#define _PRE_CSC_GAMC_DATA_A 0x4A488
9277#define _PRE_CSC_GAMC_DATA_B 0x4AC88
9278#define _PRE_CSC_GAMC_DATA_C 0x4B488
9279
9280#define PRE_CSC_GAMC_INDEX(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_INDEX_A, _PRE_CSC_GAMC_INDEX_B)
9281#define PRE_CSC_GAMC_DATA(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_DATA_A, _PRE_CSC_GAMC_DATA_B)
9282
29dc3739
LL
9283/* pipe CSC & degamma/gamma LUTs on CHV */
9284#define _CGM_PIPE_A_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x67900)
9285#define _CGM_PIPE_A_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x67904)
9286#define _CGM_PIPE_A_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x67908)
9287#define _CGM_PIPE_A_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6790C)
9288#define _CGM_PIPE_A_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x67910)
9289#define _CGM_PIPE_A_DEGAMMA (VLV_DISPLAY_BASE + 0x66000)
9290#define _CGM_PIPE_A_GAMMA (VLV_DISPLAY_BASE + 0x67000)
9291#define _CGM_PIPE_A_MODE (VLV_DISPLAY_BASE + 0x67A00)
9292#define CGM_PIPE_MODE_GAMMA (1 << 2)
9293#define CGM_PIPE_MODE_CSC (1 << 1)
9294#define CGM_PIPE_MODE_DEGAMMA (1 << 0)
9295
9296#define _CGM_PIPE_B_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x69900)
9297#define _CGM_PIPE_B_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x69904)
9298#define _CGM_PIPE_B_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x69908)
9299#define _CGM_PIPE_B_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6990C)
9300#define _CGM_PIPE_B_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x69910)
9301#define _CGM_PIPE_B_DEGAMMA (VLV_DISPLAY_BASE + 0x68000)
9302#define _CGM_PIPE_B_GAMMA (VLV_DISPLAY_BASE + 0x69000)
9303#define _CGM_PIPE_B_MODE (VLV_DISPLAY_BASE + 0x69A00)
9304
9305#define CGM_PIPE_CSC_COEFF01(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF01, _CGM_PIPE_B_CSC_COEFF01)
9306#define CGM_PIPE_CSC_COEFF23(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF23, _CGM_PIPE_B_CSC_COEFF23)
9307#define CGM_PIPE_CSC_COEFF45(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF45, _CGM_PIPE_B_CSC_COEFF45)
9308#define CGM_PIPE_CSC_COEFF67(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF67, _CGM_PIPE_B_CSC_COEFF67)
9309#define CGM_PIPE_CSC_COEFF8(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF8, _CGM_PIPE_B_CSC_COEFF8)
9310#define CGM_PIPE_DEGAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_DEGAMMA, _CGM_PIPE_B_DEGAMMA) + (i) * 8 + (w) * 4)
9311#define CGM_PIPE_GAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_GAMMA, _CGM_PIPE_B_GAMMA) + (i) * 8 + (w) * 4)
9312#define CGM_PIPE_MODE(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_MODE, _CGM_PIPE_B_MODE)
9313
e7d7cad0
JN
9314/* MIPI DSI registers */
9315
0ad4dc88 9316#define _MIPI_PORT(port, a, c) (((port) == PORT_A) ? a : c) /* ports A and C only */
f0f59a00 9317#define _MMIO_MIPI(port, a, c) _MMIO(_MIPI_PORT(port, a, c))
3230bf14 9318
bcc65700
D
9319#define MIPIO_TXESC_CLK_DIV1 _MMIO(0x160004)
9320#define GLK_TX_ESC_CLK_DIV1_MASK 0x3FF
9321#define MIPIO_TXESC_CLK_DIV2 _MMIO(0x160008)
9322#define GLK_TX_ESC_CLK_DIV2_MASK 0x3FF
9323
aec0246f
US
9324/* Gen4+ Timestamp and Pipe Frame time stamp registers */
9325#define GEN4_TIMESTAMP _MMIO(0x2358)
9326#define ILK_TIMESTAMP_HI _MMIO(0x70070)
9327#define IVB_TIMESTAMP_CTR _MMIO(0x44070)
9328
dab91783
LL
9329#define GEN9_TIMESTAMP_OVERRIDE _MMIO(0x44074)
9330#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_SHIFT 0
9331#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_MASK 0x3ff
9332#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_SHIFT 12
9333#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_MASK (0xf << 12)
9334
aec0246f
US
9335#define _PIPE_FRMTMSTMP_A 0x70048
9336#define PIPE_FRMTMSTMP(pipe) \
9337 _MMIO_PIPE2(pipe, _PIPE_FRMTMSTMP_A)
9338
11b8e4f5
SS
9339/* BXT MIPI clock controls */
9340#define BXT_MAX_VAR_OUTPUT_KHZ 39500
9341
f0f59a00 9342#define BXT_MIPI_CLOCK_CTL _MMIO(0x46090)
11b8e4f5
SS
9343#define BXT_MIPI1_DIV_SHIFT 26
9344#define BXT_MIPI2_DIV_SHIFT 10
9345#define BXT_MIPI_DIV_SHIFT(port) \
9346 _MIPI_PORT(port, BXT_MIPI1_DIV_SHIFT, \
9347 BXT_MIPI2_DIV_SHIFT)
782d25ca 9348
11b8e4f5 9349/* TX control divider to select actual TX clock output from (8x/var) */
782d25ca
D
9350#define BXT_MIPI1_TX_ESCLK_SHIFT 26
9351#define BXT_MIPI2_TX_ESCLK_SHIFT 10
11b8e4f5
SS
9352#define BXT_MIPI_TX_ESCLK_SHIFT(port) \
9353 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_SHIFT, \
9354 BXT_MIPI2_TX_ESCLK_SHIFT)
782d25ca
D
9355#define BXT_MIPI1_TX_ESCLK_FIXDIV_MASK (0x3F << 26)
9356#define BXT_MIPI2_TX_ESCLK_FIXDIV_MASK (0x3F << 10)
11b8e4f5
SS
9357#define BXT_MIPI_TX_ESCLK_FIXDIV_MASK(port) \
9358 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_FIXDIV_MASK, \
782d25ca
D
9359 BXT_MIPI2_TX_ESCLK_FIXDIV_MASK)
9360#define BXT_MIPI_TX_ESCLK_DIVIDER(port, val) \
9361 ((val & 0x3F) << BXT_MIPI_TX_ESCLK_SHIFT(port))
9362/* RX upper control divider to select actual RX clock output from 8x */
9363#define BXT_MIPI1_RX_ESCLK_UPPER_SHIFT 21
9364#define BXT_MIPI2_RX_ESCLK_UPPER_SHIFT 5
9365#define BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port) \
9366 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_SHIFT, \
9367 BXT_MIPI2_RX_ESCLK_UPPER_SHIFT)
9368#define BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 21)
9369#define BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 5)
9370#define BXT_MIPI_RX_ESCLK_UPPER_FIXDIV_MASK(port) \
9371 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK, \
9372 BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK)
9373#define BXT_MIPI_RX_ESCLK_UPPER_DIVIDER(port, val) \
9374 ((val & 3) << BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port))
9375/* 8/3X divider to select the actual 8/3X clock output from 8x */
9376#define BXT_MIPI1_8X_BY3_SHIFT 19
9377#define BXT_MIPI2_8X_BY3_SHIFT 3
9378#define BXT_MIPI_8X_BY3_SHIFT(port) \
9379 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_SHIFT, \
9380 BXT_MIPI2_8X_BY3_SHIFT)
9381#define BXT_MIPI1_8X_BY3_DIVIDER_MASK (3 << 19)
9382#define BXT_MIPI2_8X_BY3_DIVIDER_MASK (3 << 3)
9383#define BXT_MIPI_8X_BY3_DIVIDER_MASK(port) \
9384 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_DIVIDER_MASK, \
9385 BXT_MIPI2_8X_BY3_DIVIDER_MASK)
9386#define BXT_MIPI_8X_BY3_DIVIDER(port, val) \
9387 ((val & 3) << BXT_MIPI_8X_BY3_SHIFT(port))
9388/* RX lower control divider to select actual RX clock output from 8x */
9389#define BXT_MIPI1_RX_ESCLK_LOWER_SHIFT 16
9390#define BXT_MIPI2_RX_ESCLK_LOWER_SHIFT 0
9391#define BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port) \
9392 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_SHIFT, \
9393 BXT_MIPI2_RX_ESCLK_LOWER_SHIFT)
9394#define BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 16)
9395#define BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 0)
9396#define BXT_MIPI_RX_ESCLK_LOWER_FIXDIV_MASK(port) \
9397 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK, \
9398 BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK)
9399#define BXT_MIPI_RX_ESCLK_LOWER_DIVIDER(port, val) \
9400 ((val & 3) << BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port))
9401
9402#define RX_DIVIDER_BIT_1_2 0x3
9403#define RX_DIVIDER_BIT_3_4 0xC
11b8e4f5 9404
d2e08c0f
SS
9405/* BXT MIPI mode configure */
9406#define _BXT_MIPIA_TRANS_HACTIVE 0x6B0F8
9407#define _BXT_MIPIC_TRANS_HACTIVE 0x6B8F8
f0f59a00 9408#define BXT_MIPI_TRANS_HACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
9409 _BXT_MIPIA_TRANS_HACTIVE, _BXT_MIPIC_TRANS_HACTIVE)
9410
9411#define _BXT_MIPIA_TRANS_VACTIVE 0x6B0FC
9412#define _BXT_MIPIC_TRANS_VACTIVE 0x6B8FC
f0f59a00 9413#define BXT_MIPI_TRANS_VACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
9414 _BXT_MIPIA_TRANS_VACTIVE, _BXT_MIPIC_TRANS_VACTIVE)
9415
9416#define _BXT_MIPIA_TRANS_VTOTAL 0x6B100
9417#define _BXT_MIPIC_TRANS_VTOTAL 0x6B900
f0f59a00 9418#define BXT_MIPI_TRANS_VTOTAL(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
9419 _BXT_MIPIA_TRANS_VTOTAL, _BXT_MIPIC_TRANS_VTOTAL)
9420
f0f59a00 9421#define BXT_DSI_PLL_CTL _MMIO(0x161000)
cfe01a5e
SS
9422#define BXT_DSI_PLL_PVD_RATIO_SHIFT 16
9423#define BXT_DSI_PLL_PVD_RATIO_MASK (3 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
9424#define BXT_DSI_PLL_PVD_RATIO_1 (1 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
f340c2ff 9425#define BXT_DSIC_16X_BY1 (0 << 10)
cfe01a5e
SS
9426#define BXT_DSIC_16X_BY2 (1 << 10)
9427#define BXT_DSIC_16X_BY3 (2 << 10)
9428#define BXT_DSIC_16X_BY4 (3 << 10)
db18b6a6 9429#define BXT_DSIC_16X_MASK (3 << 10)
f340c2ff 9430#define BXT_DSIA_16X_BY1 (0 << 8)
cfe01a5e
SS
9431#define BXT_DSIA_16X_BY2 (1 << 8)
9432#define BXT_DSIA_16X_BY3 (2 << 8)
9433#define BXT_DSIA_16X_BY4 (3 << 8)
db18b6a6 9434#define BXT_DSIA_16X_MASK (3 << 8)
cfe01a5e
SS
9435#define BXT_DSI_FREQ_SEL_SHIFT 8
9436#define BXT_DSI_FREQ_SEL_MASK (0xF << BXT_DSI_FREQ_SEL_SHIFT)
9437
9438#define BXT_DSI_PLL_RATIO_MAX 0x7D
9439#define BXT_DSI_PLL_RATIO_MIN 0x22
f340c2ff
D
9440#define GLK_DSI_PLL_RATIO_MAX 0x6F
9441#define GLK_DSI_PLL_RATIO_MIN 0x22
cfe01a5e 9442#define BXT_DSI_PLL_RATIO_MASK 0xFF
61ad9928 9443#define BXT_REF_CLOCK_KHZ 19200
cfe01a5e 9444
f0f59a00 9445#define BXT_DSI_PLL_ENABLE _MMIO(0x46080)
cfe01a5e
SS
9446#define BXT_DSI_PLL_DO_ENABLE (1 << 31)
9447#define BXT_DSI_PLL_LOCKED (1 << 30)
9448
3230bf14 9449#define _MIPIA_PORT_CTRL (VLV_DISPLAY_BASE + 0x61190)
e7d7cad0 9450#define _MIPIC_PORT_CTRL (VLV_DISPLAY_BASE + 0x61700)
f0f59a00 9451#define MIPI_PORT_CTRL(port) _MMIO_MIPI(port, _MIPIA_PORT_CTRL, _MIPIC_PORT_CTRL)
37ab0810
SS
9452
9453 /* BXT port control */
9454#define _BXT_MIPIA_PORT_CTRL 0x6B0C0
9455#define _BXT_MIPIC_PORT_CTRL 0x6B8C0
f0f59a00 9456#define BXT_MIPI_PORT_CTRL(tc) _MMIO_MIPI(tc, _BXT_MIPIA_PORT_CTRL, _BXT_MIPIC_PORT_CTRL)
37ab0810 9457
1881a423
US
9458#define BXT_P_DSI_REGULATOR_CFG _MMIO(0x160020)
9459#define STAP_SELECT (1 << 0)
9460
9461#define BXT_P_DSI_REGULATOR_TX_CTRL _MMIO(0x160054)
9462#define HS_IO_CTRL_SELECT (1 << 0)
9463
e7d7cad0 9464#define DPI_ENABLE (1 << 31) /* A + C */
3230bf14
JN
9465#define MIPIA_MIPI4DPHY_DELAY_COUNT_SHIFT 27
9466#define MIPIA_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 27)
369602d3 9467#define DUAL_LINK_MODE_SHIFT 26
3230bf14
JN
9468#define DUAL_LINK_MODE_MASK (1 << 26)
9469#define DUAL_LINK_MODE_FRONT_BACK (0 << 26)
9470#define DUAL_LINK_MODE_PIXEL_ALTERNATIVE (1 << 26)
e7d7cad0 9471#define DITHERING_ENABLE (1 << 25) /* A + C */
3230bf14
JN
9472#define FLOPPED_HSTX (1 << 23)
9473#define DE_INVERT (1 << 19) /* XXX */
9474#define MIPIA_FLISDSI_DELAY_COUNT_SHIFT 18
9475#define MIPIA_FLISDSI_DELAY_COUNT_MASK (0xf << 18)
9476#define AFE_LATCHOUT (1 << 17)
9477#define LP_OUTPUT_HOLD (1 << 16)
e7d7cad0
JN
9478#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_SHIFT 15
9479#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_MASK (1 << 15)
9480#define MIPIC_MIPI4DPHY_DELAY_COUNT_SHIFT 11
9481#define MIPIC_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 11)
3230bf14
JN
9482#define CSB_SHIFT 9
9483#define CSB_MASK (3 << 9)
9484#define CSB_20MHZ (0 << 9)
9485#define CSB_10MHZ (1 << 9)
9486#define CSB_40MHZ (2 << 9)
9487#define BANDGAP_MASK (1 << 8)
9488#define BANDGAP_PNW_CIRCUIT (0 << 8)
9489#define BANDGAP_LNC_CIRCUIT (1 << 8)
e7d7cad0
JN
9490#define MIPIC_FLISDSI_DELAY_COUNT_LOW_SHIFT 5
9491#define MIPIC_FLISDSI_DELAY_COUNT_LOW_MASK (7 << 5)
9492#define TEARING_EFFECT_DELAY (1 << 4) /* A + C */
9493#define TEARING_EFFECT_SHIFT 2 /* A + C */
3230bf14
JN
9494#define TEARING_EFFECT_MASK (3 << 2)
9495#define TEARING_EFFECT_OFF (0 << 2)
9496#define TEARING_EFFECT_DSI (1 << 2)
9497#define TEARING_EFFECT_GPIO (2 << 2)
9498#define LANE_CONFIGURATION_SHIFT 0
9499#define LANE_CONFIGURATION_MASK (3 << 0)
9500#define LANE_CONFIGURATION_4LANE (0 << 0)
9501#define LANE_CONFIGURATION_DUAL_LINK_A (1 << 0)
9502#define LANE_CONFIGURATION_DUAL_LINK_B (2 << 0)
9503
9504#define _MIPIA_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61194)
e7d7cad0 9505#define _MIPIC_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61704)
f0f59a00 9506#define MIPI_TEARING_CTRL(port) _MMIO_MIPI(port, _MIPIA_TEARING_CTRL, _MIPIC_TEARING_CTRL)
3230bf14
JN
9507#define TEARING_EFFECT_DELAY_SHIFT 0
9508#define TEARING_EFFECT_DELAY_MASK (0xffff << 0)
9509
9510/* XXX: all bits reserved */
4ad83e94 9511#define _MIPIA_AUTOPWG (VLV_DISPLAY_BASE + 0x611a0)
3230bf14
JN
9512
9513/* MIPI DSI Controller and D-PHY registers */
9514
4ad83e94 9515#define _MIPIA_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb000)
e7d7cad0 9516#define _MIPIC_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb800)
f0f59a00 9517#define MIPI_DEVICE_READY(port) _MMIO_MIPI(port, _MIPIA_DEVICE_READY, _MIPIC_DEVICE_READY)
3230bf14
JN
9518#define BUS_POSSESSION (1 << 3) /* set to give bus to receiver */
9519#define ULPS_STATE_MASK (3 << 1)
9520#define ULPS_STATE_ENTER (2 << 1)
9521#define ULPS_STATE_EXIT (1 << 1)
9522#define ULPS_STATE_NORMAL_OPERATION (0 << 1)
9523#define DEVICE_READY (1 << 0)
9524
4ad83e94 9525#define _MIPIA_INTR_STAT (dev_priv->mipi_mmio_base + 0xb004)
e7d7cad0 9526#define _MIPIC_INTR_STAT (dev_priv->mipi_mmio_base + 0xb804)
f0f59a00 9527#define MIPI_INTR_STAT(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT, _MIPIC_INTR_STAT)
4ad83e94 9528#define _MIPIA_INTR_EN (dev_priv->mipi_mmio_base + 0xb008)
e7d7cad0 9529#define _MIPIC_INTR_EN (dev_priv->mipi_mmio_base + 0xb808)
f0f59a00 9530#define MIPI_INTR_EN(port) _MMIO_MIPI(port, _MIPIA_INTR_EN, _MIPIC_INTR_EN)
3230bf14
JN
9531#define TEARING_EFFECT (1 << 31)
9532#define SPL_PKT_SENT_INTERRUPT (1 << 30)
9533#define GEN_READ_DATA_AVAIL (1 << 29)
9534#define LP_GENERIC_WR_FIFO_FULL (1 << 28)
9535#define HS_GENERIC_WR_FIFO_FULL (1 << 27)
9536#define RX_PROT_VIOLATION (1 << 26)
9537#define RX_INVALID_TX_LENGTH (1 << 25)
9538#define ACK_WITH_NO_ERROR (1 << 24)
9539#define TURN_AROUND_ACK_TIMEOUT (1 << 23)
9540#define LP_RX_TIMEOUT (1 << 22)
9541#define HS_TX_TIMEOUT (1 << 21)
9542#define DPI_FIFO_UNDERRUN (1 << 20)
9543#define LOW_CONTENTION (1 << 19)
9544#define HIGH_CONTENTION (1 << 18)
9545#define TXDSI_VC_ID_INVALID (1 << 17)
9546#define TXDSI_DATA_TYPE_NOT_RECOGNISED (1 << 16)
9547#define TXCHECKSUM_ERROR (1 << 15)
9548#define TXECC_MULTIBIT_ERROR (1 << 14)
9549#define TXECC_SINGLE_BIT_ERROR (1 << 13)
9550#define TXFALSE_CONTROL_ERROR (1 << 12)
9551#define RXDSI_VC_ID_INVALID (1 << 11)
9552#define RXDSI_DATA_TYPE_NOT_REGOGNISED (1 << 10)
9553#define RXCHECKSUM_ERROR (1 << 9)
9554#define RXECC_MULTIBIT_ERROR (1 << 8)
9555#define RXECC_SINGLE_BIT_ERROR (1 << 7)
9556#define RXFALSE_CONTROL_ERROR (1 << 6)
9557#define RXHS_RECEIVE_TIMEOUT_ERROR (1 << 5)
9558#define RX_LP_TX_SYNC_ERROR (1 << 4)
9559#define RXEXCAPE_MODE_ENTRY_ERROR (1 << 3)
9560#define RXEOT_SYNC_ERROR (1 << 2)
9561#define RXSOT_SYNC_ERROR (1 << 1)
9562#define RXSOT_ERROR (1 << 0)
9563
4ad83e94 9564#define _MIPIA_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb00c)
e7d7cad0 9565#define _MIPIC_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb80c)
f0f59a00 9566#define MIPI_DSI_FUNC_PRG(port) _MMIO_MIPI(port, _MIPIA_DSI_FUNC_PRG, _MIPIC_DSI_FUNC_PRG)
3230bf14
JN
9567#define CMD_MODE_DATA_WIDTH_MASK (7 << 13)
9568#define CMD_MODE_NOT_SUPPORTED (0 << 13)
9569#define CMD_MODE_DATA_WIDTH_16_BIT (1 << 13)
9570#define CMD_MODE_DATA_WIDTH_9_BIT (2 << 13)
9571#define CMD_MODE_DATA_WIDTH_8_BIT (3 << 13)
9572#define CMD_MODE_DATA_WIDTH_OPTION1 (4 << 13)
9573#define CMD_MODE_DATA_WIDTH_OPTION2 (5 << 13)
9574#define VID_MODE_FORMAT_MASK (0xf << 7)
9575#define VID_MODE_NOT_SUPPORTED (0 << 7)
9576#define VID_MODE_FORMAT_RGB565 (1 << 7)
42c151e6
JN
9577#define VID_MODE_FORMAT_RGB666_PACKED (2 << 7)
9578#define VID_MODE_FORMAT_RGB666 (3 << 7)
3230bf14
JN
9579#define VID_MODE_FORMAT_RGB888 (4 << 7)
9580#define CMD_MODE_CHANNEL_NUMBER_SHIFT 5
9581#define CMD_MODE_CHANNEL_NUMBER_MASK (3 << 5)
9582#define VID_MODE_CHANNEL_NUMBER_SHIFT 3
9583#define VID_MODE_CHANNEL_NUMBER_MASK (3 << 3)
9584#define DATA_LANES_PRG_REG_SHIFT 0
9585#define DATA_LANES_PRG_REG_MASK (7 << 0)
9586
4ad83e94 9587#define _MIPIA_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb010)
e7d7cad0 9588#define _MIPIC_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb810)
f0f59a00 9589#define MIPI_HS_TX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_HS_TX_TIMEOUT, _MIPIC_HS_TX_TIMEOUT)
3230bf14
JN
9590#define HIGH_SPEED_TX_TIMEOUT_COUNTER_MASK 0xffffff
9591
4ad83e94 9592#define _MIPIA_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb014)
e7d7cad0 9593#define _MIPIC_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb814)
f0f59a00 9594#define MIPI_LP_RX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_LP_RX_TIMEOUT, _MIPIC_LP_RX_TIMEOUT)
3230bf14
JN
9595#define LOW_POWER_RX_TIMEOUT_COUNTER_MASK 0xffffff
9596
4ad83e94 9597#define _MIPIA_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb018)
e7d7cad0 9598#define _MIPIC_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb818)
f0f59a00 9599#define MIPI_TURN_AROUND_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_TURN_AROUND_TIMEOUT, _MIPIC_TURN_AROUND_TIMEOUT)
3230bf14
JN
9600#define TURN_AROUND_TIMEOUT_MASK 0x3f
9601
4ad83e94 9602#define _MIPIA_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb01c)
e7d7cad0 9603#define _MIPIC_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb81c)
f0f59a00 9604#define MIPI_DEVICE_RESET_TIMER(port) _MMIO_MIPI(port, _MIPIA_DEVICE_RESET_TIMER, _MIPIC_DEVICE_RESET_TIMER)
3230bf14
JN
9605#define DEVICE_RESET_TIMER_MASK 0xffff
9606
4ad83e94 9607#define _MIPIA_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb020)
e7d7cad0 9608#define _MIPIC_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb820)
f0f59a00 9609#define MIPI_DPI_RESOLUTION(port) _MMIO_MIPI(port, _MIPIA_DPI_RESOLUTION, _MIPIC_DPI_RESOLUTION)
3230bf14
JN
9610#define VERTICAL_ADDRESS_SHIFT 16
9611#define VERTICAL_ADDRESS_MASK (0xffff << 16)
9612#define HORIZONTAL_ADDRESS_SHIFT 0
9613#define HORIZONTAL_ADDRESS_MASK 0xffff
9614
4ad83e94 9615#define _MIPIA_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb024)
e7d7cad0 9616#define _MIPIC_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb824)
f0f59a00 9617#define MIPI_DBI_FIFO_THROTTLE(port) _MMIO_MIPI(port, _MIPIA_DBI_FIFO_THROTTLE, _MIPIC_DBI_FIFO_THROTTLE)
3230bf14
JN
9618#define DBI_FIFO_EMPTY_HALF (0 << 0)
9619#define DBI_FIFO_EMPTY_QUARTER (1 << 0)
9620#define DBI_FIFO_EMPTY_7_LOCATIONS (2 << 0)
9621
9622/* regs below are bits 15:0 */
4ad83e94 9623#define _MIPIA_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb028)
e7d7cad0 9624#define _MIPIC_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb828)
f0f59a00 9625#define MIPI_HSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_HSYNC_PADDING_COUNT, _MIPIC_HSYNC_PADDING_COUNT)
3230bf14 9626
4ad83e94 9627#define _MIPIA_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb02c)
e7d7cad0 9628#define _MIPIC_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb82c)
f0f59a00 9629#define MIPI_HBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HBP_COUNT, _MIPIC_HBP_COUNT)
3230bf14 9630
4ad83e94 9631#define _MIPIA_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb030)
e7d7cad0 9632#define _MIPIC_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb830)
f0f59a00 9633#define MIPI_HFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HFP_COUNT, _MIPIC_HFP_COUNT)
3230bf14 9634
4ad83e94 9635#define _MIPIA_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb034)
e7d7cad0 9636#define _MIPIC_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb834)
f0f59a00 9637#define MIPI_HACTIVE_AREA_COUNT(port) _MMIO_MIPI(port, _MIPIA_HACTIVE_AREA_COUNT, _MIPIC_HACTIVE_AREA_COUNT)
3230bf14 9638
4ad83e94 9639#define _MIPIA_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb038)
e7d7cad0 9640#define _MIPIC_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb838)
f0f59a00 9641#define MIPI_VSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_VSYNC_PADDING_COUNT, _MIPIC_VSYNC_PADDING_COUNT)
3230bf14 9642
4ad83e94 9643#define _MIPIA_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb03c)
e7d7cad0 9644#define _MIPIC_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb83c)
f0f59a00 9645#define MIPI_VBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VBP_COUNT, _MIPIC_VBP_COUNT)
3230bf14 9646
4ad83e94 9647#define _MIPIA_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb040)
e7d7cad0 9648#define _MIPIC_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb840)
f0f59a00 9649#define MIPI_VFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VFP_COUNT, _MIPIC_VFP_COUNT)
3230bf14 9650
4ad83e94 9651#define _MIPIA_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb044)
e7d7cad0 9652#define _MIPIC_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb844)
f0f59a00 9653#define MIPI_HIGH_LOW_SWITCH_COUNT(port) _MMIO_MIPI(port, _MIPIA_HIGH_LOW_SWITCH_COUNT, _MIPIC_HIGH_LOW_SWITCH_COUNT)
4ad83e94 9654
3230bf14
JN
9655/* regs above are bits 15:0 */
9656
4ad83e94 9657#define _MIPIA_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb048)
e7d7cad0 9658#define _MIPIC_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb848)
f0f59a00 9659#define MIPI_DPI_CONTROL(port) _MMIO_MIPI(port, _MIPIA_DPI_CONTROL, _MIPIC_DPI_CONTROL)
3230bf14
JN
9660#define DPI_LP_MODE (1 << 6)
9661#define BACKLIGHT_OFF (1 << 5)
9662#define BACKLIGHT_ON (1 << 4)
9663#define COLOR_MODE_OFF (1 << 3)
9664#define COLOR_MODE_ON (1 << 2)
9665#define TURN_ON (1 << 1)
9666#define SHUTDOWN (1 << 0)
9667
4ad83e94 9668#define _MIPIA_DPI_DATA (dev_priv->mipi_mmio_base + 0xb04c)
e7d7cad0 9669#define _MIPIC_DPI_DATA (dev_priv->mipi_mmio_base + 0xb84c)
f0f59a00 9670#define MIPI_DPI_DATA(port) _MMIO_MIPI(port, _MIPIA_DPI_DATA, _MIPIC_DPI_DATA)
3230bf14
JN
9671#define COMMAND_BYTE_SHIFT 0
9672#define COMMAND_BYTE_MASK (0x3f << 0)
9673
4ad83e94 9674#define _MIPIA_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb050)
e7d7cad0 9675#define _MIPIC_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb850)
f0f59a00 9676#define MIPI_INIT_COUNT(port) _MMIO_MIPI(port, _MIPIA_INIT_COUNT, _MIPIC_INIT_COUNT)
3230bf14
JN
9677#define MASTER_INIT_TIMER_SHIFT 0
9678#define MASTER_INIT_TIMER_MASK (0xffff << 0)
9679
4ad83e94 9680#define _MIPIA_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb054)
e7d7cad0 9681#define _MIPIC_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb854)
f0f59a00 9682#define MIPI_MAX_RETURN_PKT_SIZE(port) _MMIO_MIPI(port, \
e7d7cad0 9683 _MIPIA_MAX_RETURN_PKT_SIZE, _MIPIC_MAX_RETURN_PKT_SIZE)
3230bf14
JN
9684#define MAX_RETURN_PKT_SIZE_SHIFT 0
9685#define MAX_RETURN_PKT_SIZE_MASK (0x3ff << 0)
9686
4ad83e94 9687#define _MIPIA_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb058)
e7d7cad0 9688#define _MIPIC_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb858)
f0f59a00 9689#define MIPI_VIDEO_MODE_FORMAT(port) _MMIO_MIPI(port, _MIPIA_VIDEO_MODE_FORMAT, _MIPIC_VIDEO_MODE_FORMAT)
3230bf14
JN
9690#define RANDOM_DPI_DISPLAY_RESOLUTION (1 << 4)
9691#define DISABLE_VIDEO_BTA (1 << 3)
9692#define IP_TG_CONFIG (1 << 2)
9693#define VIDEO_MODE_NON_BURST_WITH_SYNC_PULSE (1 << 0)
9694#define VIDEO_MODE_NON_BURST_WITH_SYNC_EVENTS (2 << 0)
9695#define VIDEO_MODE_BURST (3 << 0)
9696
4ad83e94 9697#define _MIPIA_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb05c)
e7d7cad0 9698#define _MIPIC_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb85c)
f0f59a00 9699#define MIPI_EOT_DISABLE(port) _MMIO_MIPI(port, _MIPIA_EOT_DISABLE, _MIPIC_EOT_DISABLE)
f90e8c36
JN
9700#define BXT_DEFEATURE_DPI_FIFO_CTR (1 << 9)
9701#define BXT_DPHY_DEFEATURE_EN (1 << 8)
3230bf14
JN
9702#define LP_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 7)
9703#define HS_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 6)
9704#define LOW_CONTENTION_RECOVERY_DISABLE (1 << 5)
9705#define HIGH_CONTENTION_RECOVERY_DISABLE (1 << 4)
9706#define TXDSI_TYPE_NOT_RECOGNISED_ERROR_RECOVERY_DISABLE (1 << 3)
9707#define TXECC_MULTIBIT_ERROR_RECOVERY_DISABLE (1 << 2)
9708#define CLOCKSTOP (1 << 1)
9709#define EOT_DISABLE (1 << 0)
9710
4ad83e94 9711#define _MIPIA_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb060)
e7d7cad0 9712#define _MIPIC_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb860)
f0f59a00 9713#define MIPI_LP_BYTECLK(port) _MMIO_MIPI(port, _MIPIA_LP_BYTECLK, _MIPIC_LP_BYTECLK)
3230bf14
JN
9714#define LP_BYTECLK_SHIFT 0
9715#define LP_BYTECLK_MASK (0xffff << 0)
9716
b426f985
D
9717#define _MIPIA_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb0a4)
9718#define _MIPIC_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb8a4)
9719#define MIPI_TLPX_TIME_COUNT(port) _MMIO_MIPI(port, _MIPIA_TLPX_TIME_COUNT, _MIPIC_TLPX_TIME_COUNT)
9720
9721#define _MIPIA_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb098)
9722#define _MIPIC_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb898)
9723#define MIPI_CLK_LANE_TIMING(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_TIMING, _MIPIC_CLK_LANE_TIMING)
9724
3230bf14 9725/* bits 31:0 */
4ad83e94 9726#define _MIPIA_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb064)
e7d7cad0 9727#define _MIPIC_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb864)
f0f59a00 9728#define MIPI_LP_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_DATA, _MIPIC_LP_GEN_DATA)
3230bf14
JN
9729
9730/* bits 31:0 */
4ad83e94 9731#define _MIPIA_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb068)
e7d7cad0 9732#define _MIPIC_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb868)
f0f59a00 9733#define MIPI_HS_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_DATA, _MIPIC_HS_GEN_DATA)
3230bf14 9734
4ad83e94 9735#define _MIPIA_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb06c)
e7d7cad0 9736#define _MIPIC_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb86c)
f0f59a00 9737#define MIPI_LP_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_CTRL, _MIPIC_LP_GEN_CTRL)
4ad83e94 9738#define _MIPIA_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb070)
e7d7cad0 9739#define _MIPIC_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb870)
f0f59a00 9740#define MIPI_HS_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_CTRL, _MIPIC_HS_GEN_CTRL)
3230bf14
JN
9741#define LONG_PACKET_WORD_COUNT_SHIFT 8
9742#define LONG_PACKET_WORD_COUNT_MASK (0xffff << 8)
9743#define SHORT_PACKET_PARAM_SHIFT 8
9744#define SHORT_PACKET_PARAM_MASK (0xffff << 8)
9745#define VIRTUAL_CHANNEL_SHIFT 6
9746#define VIRTUAL_CHANNEL_MASK (3 << 6)
9747#define DATA_TYPE_SHIFT 0
395b2913 9748#define DATA_TYPE_MASK (0x3f << 0)
3230bf14
JN
9749/* data type values, see include/video/mipi_display.h */
9750
4ad83e94 9751#define _MIPIA_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb074)
e7d7cad0 9752#define _MIPIC_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb874)
f0f59a00 9753#define MIPI_GEN_FIFO_STAT(port) _MMIO_MIPI(port, _MIPIA_GEN_FIFO_STAT, _MIPIC_GEN_FIFO_STAT)
3230bf14
JN
9754#define DPI_FIFO_EMPTY (1 << 28)
9755#define DBI_FIFO_EMPTY (1 << 27)
9756#define LP_CTRL_FIFO_EMPTY (1 << 26)
9757#define LP_CTRL_FIFO_HALF_EMPTY (1 << 25)
9758#define LP_CTRL_FIFO_FULL (1 << 24)
9759#define HS_CTRL_FIFO_EMPTY (1 << 18)
9760#define HS_CTRL_FIFO_HALF_EMPTY (1 << 17)
9761#define HS_CTRL_FIFO_FULL (1 << 16)
9762#define LP_DATA_FIFO_EMPTY (1 << 10)
9763#define LP_DATA_FIFO_HALF_EMPTY (1 << 9)
9764#define LP_DATA_FIFO_FULL (1 << 8)
9765#define HS_DATA_FIFO_EMPTY (1 << 2)
9766#define HS_DATA_FIFO_HALF_EMPTY (1 << 1)
9767#define HS_DATA_FIFO_FULL (1 << 0)
9768
4ad83e94 9769#define _MIPIA_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb078)
e7d7cad0 9770#define _MIPIC_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb878)
f0f59a00 9771#define MIPI_HS_LP_DBI_ENABLE(port) _MMIO_MIPI(port, _MIPIA_HS_LS_DBI_ENABLE, _MIPIC_HS_LS_DBI_ENABLE)
3230bf14
JN
9772#define DBI_HS_LP_MODE_MASK (1 << 0)
9773#define DBI_LP_MODE (1 << 0)
9774#define DBI_HS_MODE (0 << 0)
9775
4ad83e94 9776#define _MIPIA_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb080)
e7d7cad0 9777#define _MIPIC_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb880)
f0f59a00 9778#define MIPI_DPHY_PARAM(port) _MMIO_MIPI(port, _MIPIA_DPHY_PARAM, _MIPIC_DPHY_PARAM)
3230bf14
JN
9779#define EXIT_ZERO_COUNT_SHIFT 24
9780#define EXIT_ZERO_COUNT_MASK (0x3f << 24)
9781#define TRAIL_COUNT_SHIFT 16
9782#define TRAIL_COUNT_MASK (0x1f << 16)
9783#define CLK_ZERO_COUNT_SHIFT 8
9784#define CLK_ZERO_COUNT_MASK (0xff << 8)
9785#define PREPARE_COUNT_SHIFT 0
9786#define PREPARE_COUNT_MASK (0x3f << 0)
9787
9788/* bits 31:0 */
4ad83e94 9789#define _MIPIA_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb084)
e7d7cad0 9790#define _MIPIC_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb884)
f0f59a00
VS
9791#define MIPI_DBI_BW_CTRL(port) _MMIO_MIPI(port, _MIPIA_DBI_BW_CTRL, _MIPIC_DBI_BW_CTRL)
9792
9793#define _MIPIA_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb088)
9794#define _MIPIC_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb888)
9795#define MIPI_CLK_LANE_SWITCH_TIME_CNT(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_SWITCH_TIME_CNT, _MIPIC_CLK_LANE_SWITCH_TIME_CNT)
3230bf14
JN
9796#define LP_HS_SSW_CNT_SHIFT 16
9797#define LP_HS_SSW_CNT_MASK (0xffff << 16)
9798#define HS_LP_PWR_SW_CNT_SHIFT 0
9799#define HS_LP_PWR_SW_CNT_MASK (0xffff << 0)
9800
4ad83e94 9801#define _MIPIA_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb08c)
e7d7cad0 9802#define _MIPIC_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb88c)
f0f59a00 9803#define MIPI_STOP_STATE_STALL(port) _MMIO_MIPI(port, _MIPIA_STOP_STATE_STALL, _MIPIC_STOP_STATE_STALL)
3230bf14
JN
9804#define STOP_STATE_STALL_COUNTER_SHIFT 0
9805#define STOP_STATE_STALL_COUNTER_MASK (0xff << 0)
9806
4ad83e94 9807#define _MIPIA_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb090)
e7d7cad0 9808#define _MIPIC_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb890)
f0f59a00 9809#define MIPI_INTR_STAT_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT_REG_1, _MIPIC_INTR_STAT_REG_1)
4ad83e94 9810#define _MIPIA_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb094)
e7d7cad0 9811#define _MIPIC_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb894)
f0f59a00 9812#define MIPI_INTR_EN_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_EN_REG_1, _MIPIC_INTR_EN_REG_1)
3230bf14
JN
9813#define RX_CONTENTION_DETECTED (1 << 0)
9814
9815/* XXX: only pipe A ?!? */
4ad83e94 9816#define MIPIA_DBI_TYPEC_CTRL (dev_priv->mipi_mmio_base + 0xb100)
3230bf14
JN
9817#define DBI_TYPEC_ENABLE (1 << 31)
9818#define DBI_TYPEC_WIP (1 << 30)
9819#define DBI_TYPEC_OPTION_SHIFT 28
9820#define DBI_TYPEC_OPTION_MASK (3 << 28)
9821#define DBI_TYPEC_FREQ_SHIFT 24
9822#define DBI_TYPEC_FREQ_MASK (0xf << 24)
9823#define DBI_TYPEC_OVERRIDE (1 << 8)
9824#define DBI_TYPEC_OVERRIDE_COUNTER_SHIFT 0
9825#define DBI_TYPEC_OVERRIDE_COUNTER_MASK (0xff << 0)
9826
9827
9828/* MIPI adapter registers */
9829
4ad83e94 9830#define _MIPIA_CTRL (dev_priv->mipi_mmio_base + 0xb104)
e7d7cad0 9831#define _MIPIC_CTRL (dev_priv->mipi_mmio_base + 0xb904)
f0f59a00 9832#define MIPI_CTRL(port) _MMIO_MIPI(port, _MIPIA_CTRL, _MIPIC_CTRL)
3230bf14
JN
9833#define ESCAPE_CLOCK_DIVIDER_SHIFT 5 /* A only */
9834#define ESCAPE_CLOCK_DIVIDER_MASK (3 << 5)
9835#define ESCAPE_CLOCK_DIVIDER_1 (0 << 5)
9836#define ESCAPE_CLOCK_DIVIDER_2 (1 << 5)
9837#define ESCAPE_CLOCK_DIVIDER_4 (2 << 5)
9838#define READ_REQUEST_PRIORITY_SHIFT 3
9839#define READ_REQUEST_PRIORITY_MASK (3 << 3)
9840#define READ_REQUEST_PRIORITY_LOW (0 << 3)
9841#define READ_REQUEST_PRIORITY_HIGH (3 << 3)
9842#define RGB_FLIP_TO_BGR (1 << 2)
9843
6b93e9c8 9844#define BXT_PIPE_SELECT_SHIFT 7
d2e08c0f 9845#define BXT_PIPE_SELECT_MASK (7 << 7)
56c48978 9846#define BXT_PIPE_SELECT(pipe) ((pipe) << 7)
093d680a
D
9847#define GLK_PHY_STATUS_PORT_READY (1 << 31) /* RO */
9848#define GLK_ULPS_NOT_ACTIVE (1 << 30) /* RO */
9849#define GLK_MIPIIO_RESET_RELEASED (1 << 28)
9850#define GLK_CLOCK_LANE_STOP_STATE (1 << 27) /* RO */
9851#define GLK_DATA_LANE_STOP_STATE (1 << 26) /* RO */
9852#define GLK_LP_WAKE (1 << 22)
9853#define GLK_LP11_LOW_PWR_MODE (1 << 21)
9854#define GLK_LP00_LOW_PWR_MODE (1 << 20)
9855#define GLK_FIREWALL_ENABLE (1 << 16)
9856#define BXT_PIXEL_OVERLAP_CNT_MASK (0xf << 10)
9857#define BXT_PIXEL_OVERLAP_CNT_SHIFT 10
9858#define BXT_DSC_ENABLE (1 << 3)
9859#define BXT_RGB_FLIP (1 << 2)
9860#define GLK_MIPIIO_PORT_POWERED (1 << 1) /* RO */
9861#define GLK_MIPIIO_ENABLE (1 << 0)
d2e08c0f 9862
4ad83e94 9863#define _MIPIA_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb108)
e7d7cad0 9864#define _MIPIC_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb908)
f0f59a00 9865#define MIPI_DATA_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_DATA_ADDRESS, _MIPIC_DATA_ADDRESS)
3230bf14
JN
9866#define DATA_MEM_ADDRESS_SHIFT 5
9867#define DATA_MEM_ADDRESS_MASK (0x7ffffff << 5)
9868#define DATA_VALID (1 << 0)
9869
4ad83e94 9870#define _MIPIA_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb10c)
e7d7cad0 9871#define _MIPIC_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb90c)
f0f59a00 9872#define MIPI_DATA_LENGTH(port) _MMIO_MIPI(port, _MIPIA_DATA_LENGTH, _MIPIC_DATA_LENGTH)
3230bf14
JN
9873#define DATA_LENGTH_SHIFT 0
9874#define DATA_LENGTH_MASK (0xfffff << 0)
9875
4ad83e94 9876#define _MIPIA_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb110)
e7d7cad0 9877#define _MIPIC_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb910)
f0f59a00 9878#define MIPI_COMMAND_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_COMMAND_ADDRESS, _MIPIC_COMMAND_ADDRESS)
3230bf14
JN
9879#define COMMAND_MEM_ADDRESS_SHIFT 5
9880#define COMMAND_MEM_ADDRESS_MASK (0x7ffffff << 5)
9881#define AUTO_PWG_ENABLE (1 << 2)
9882#define MEMORY_WRITE_DATA_FROM_PIPE_RENDERING (1 << 1)
9883#define COMMAND_VALID (1 << 0)
9884
4ad83e94 9885#define _MIPIA_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb114)
e7d7cad0 9886#define _MIPIC_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb914)
f0f59a00 9887#define MIPI_COMMAND_LENGTH(port) _MMIO_MIPI(port, _MIPIA_COMMAND_LENGTH, _MIPIC_COMMAND_LENGTH)
3230bf14
JN
9888#define COMMAND_LENGTH_SHIFT(n) (8 * (n)) /* n: 0...3 */
9889#define COMMAND_LENGTH_MASK(n) (0xff << (8 * (n)))
9890
4ad83e94 9891#define _MIPIA_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb118)
e7d7cad0 9892#define _MIPIC_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb918)
f0f59a00 9893#define MIPI_READ_DATA_RETURN(port, n) _MMIO(_MIPI(port, _MIPIA_READ_DATA_RETURN0, _MIPIC_READ_DATA_RETURN0) + 4 * (n)) /* n: 0...7 */
3230bf14 9894
4ad83e94 9895#define _MIPIA_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb138)
e7d7cad0 9896#define _MIPIC_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb938)
f0f59a00 9897#define MIPI_READ_DATA_VALID(port) _MMIO_MIPI(port, _MIPIA_READ_DATA_VALID, _MIPIC_READ_DATA_VALID)
3230bf14
JN
9898#define READ_DATA_VALID(n) (1 << (n))
9899
a57c774a 9900/* For UMS only (deprecated): */
5c969aa7
DL
9901#define _PALETTE_A (dev_priv->info.display_mmio_offset + 0xa000)
9902#define _PALETTE_B (dev_priv->info.display_mmio_offset + 0xa800)
a57c774a 9903
3bbaba0c 9904/* MOCS (Memory Object Control State) registers */
f0f59a00 9905#define GEN9_LNCFCMOCS(i) _MMIO(0xb020 + (i) * 4) /* L3 Cache Control */
3bbaba0c 9906
f0f59a00
VS
9907#define GEN9_GFX_MOCS(i) _MMIO(0xc800 + (i) * 4) /* Graphics MOCS registers */
9908#define GEN9_MFX0_MOCS(i) _MMIO(0xc900 + (i) * 4) /* Media 0 MOCS registers */
9909#define GEN9_MFX1_MOCS(i) _MMIO(0xca00 + (i) * 4) /* Media 1 MOCS registers */
9910#define GEN9_VEBOX_MOCS(i) _MMIO(0xcb00 + (i) * 4) /* Video MOCS registers */
9911#define GEN9_BLT_MOCS(i) _MMIO(0xcc00 + (i) * 4) /* Blitter MOCS registers */
74ba22ea
TL
9912/* Media decoder 2 MOCS registers */
9913#define GEN11_MFX2_MOCS(i) _MMIO(0x10000 + (i) * 4)
3bbaba0c 9914
73f4e8a3
OM
9915#define GEN10_SCRATCH_LNCF2 _MMIO(0xb0a0)
9916#define PMFLUSHDONE_LNICRSDROP (1 << 20)
9917#define PMFLUSH_GAPL3UNBLOCK (1 << 21)
9918#define PMFLUSHDONE_LNEBLK (1 << 22)
9919
d5165ebd
TG
9920/* gamt regs */
9921#define GEN8_L3_LRA_1_GPGPU _MMIO(0x4dd4)
9922#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_BDW 0x67F1427F /* max/min for LRA1/2 */
9923#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_CHV 0x5FF101FF /* max/min for LRA1/2 */
9924#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_SKL 0x67F1427F /* " " */
9925#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_BXT 0x5FF101FF /* " " */
9926
93564044
VS
9927#define MMCD_MISC_CTRL _MMIO(0x4ddc) /* skl+ */
9928#define MMCD_PCLA (1 << 31)
9929#define MMCD_HOTSPOT_EN (1 << 27)
9930
ad186f3f
PZ
9931#define _ICL_PHY_MISC_A 0x64C00
9932#define _ICL_PHY_MISC_B 0x64C04
9933#define ICL_PHY_MISC(port) _MMIO_PORT(port, _ICL_PHY_MISC_A, \
9934 _ICL_PHY_MISC_B)
9935#define ICL_PHY_MISC_DE_IO_COMP_PWR_DOWN (1 << 23)
9936
585fb111 9937#endif /* _I915_REG_H_ */